FPGA 驱动HDC1080温湿度模块

HDC1080基本介绍与配置过程

HDC1080:HDC1080是TI公司推出的一款温湿度数字芯片,他测量的精度比较高而且功耗也很低。

HDC1080接口为IIC 接口,与网上常见的单字节读写不同的是,这个芯片的数据都是2字节的,假设要这个多字节的IIC时序,请看另外的文章

HDC1080的读写时序:

我们知道这个芯片的通信方式是IIC之后,就要看手册是怎么配置的,即是看这个芯片的配置过程。

1.在寄存器地址为0x02中配置读取温湿度的参数

a):Bit[12]为1,温湿度都要采集,否则将只采集一种。

b):设置所需的温度测量分辨率:bit[10] = 0, 温度是14为分辨率;bit[10] = 0, 温度是11为分辨率.

c):设置所需的湿度测量分辨率:bit[9:8] = 00, 湿度是14为分辨率;bit[9:8] = 01, 湿度是11为分辨率,bit[9:8] = 10.湿度是8位分辨率。

这是配置HDC1080的时序,就是对地址0X02写入一个数据,假如是温湿度都要采集,并且精度都是14bits,写入的数据就是0x1000。

2. 将温度和湿度地址指针通过IIC发出,温度的地址指针式0x00,湿度是0x01.,然后再等等待足够的时钟,就可以进行读取数据

   可以看出要读出数据,首先要将读的地址写入进去,然后等待应答之后再进行读取数据,读取的数据也是16bits,但是IIC读取数据是每次8bits,所以在读取8bits,要等待主机应答之后再继续读取,并且在将温度或者湿度的读取地址写入后,要等待一段时间再进行读取数据,等待的时间如下如,(我是直接等待7ms)就满足,自己也可以设置长一点,十几毫秒应该都没问题。

3. 温度转换

       在读出温湿度数据之后,要将数据进行转换

FPGA驱动HDC1080

        1:首先关于IIC时序,我是参考的正点原子的IIC协议,如果自己看不懂还可以看视频,挺清楚的,自己在他的基础上将数据的读写都改为了2字节,满足我们的要求,并且将我们的在配置完IIC之后,准备读数据的时候,有一个等待转换的时间也加在了中间,这个转换时间也可以直接加在我们在写HDC1080控制的过程。

        2:控制过程的过程与我们前面讲的时序差不多了,用一个状态机就可以完成了即:空闲状态,配置,写温度的地址,读温度,写湿度的地址,读湿度这几个状态

下面是状态机的代码:

always @(posedge dri_clk or negedge rst_n) begin
	if(~rst_n) begin
		i2c_exec   <= 0;
		i2c_addr   <= 8'h02;
		i2c_data_w <= 16'h1000;
		i2c_rh_wl  <= 1'b0;
		wait_cnt   <= 0;
		temperature_reg <= 0;
		humidity_reg <= 0;
		state      <= IDLE;
	end 
	else begin
		case (state)
			IDLE: begin
				finish_sync <= 0;
				if(start_sync) begin    //start
					if(end_start_cnt) begin
						state <= READ_T;
						i2c_addr  <= 8'h00;
						i2c_rh_wl <= 1'b1; 
						i2c_exec <= 1;
					end else begin
						state <= CONFIG;    //
						i2c_addr  <= 8'h02;   //slave addr
						i2c_data_w <= 16'h1000;
						i2c_rh_wl <= 1'b0;    //write
						i2c_exec <= 1;
					end
				end else begin
					state <= IDLE;
					i2c_addr  <= 8'h02;
					i2c_data_w <= 16'h1000;
					i2c_rh_wl <= 1'b0;
					i2c_exec <= 0;
				end
			end
			CONFIG: begin
				i2c_exec <= 0;
				wait_cnt <= 0;
				if(i2c_done == 1'b1) begin    //config finish            
                    state <= WAIT_TO_READ_T;
                end else begin
                	state <= CONFIG;
                end
			end
			WAIT_TO_READ_T: begin
				if(wait_cnt == WAIT) begin               //间隔控制
                    state <= READ_T;
                    i2c_addr  <= 8'h00;                 //温度地址
					i2c_rh_wl <= 1'b1;
					i2c_exec <= 1;
                end
                else begin
                	wait_cnt <= wait_cnt + 1'b1;
                	state <= WAIT_TO_READ_T;
                end
			end
			READ_T: begin
				i2c_exec <= 0;
				wait_cnt <= 0;
				if(i2c_done == 1'b1) begin    
					temperature_reg <= i2c_data_r; //
                    state <= WAIT_TO_READ_H;
                end else begin
                	state <= READ_T;
                end
			end
			WAIT_TO_READ_H: begin
				if(wait_cnt == WAIT) begin               //间隔控制
                    state <= READ_H;
                    i2c_addr  <= 8'h01;
					i2c_rh_wl <= 1'b1;
					i2c_exec <= 1;
                end else begin
                	wait_cnt <= wait_cnt + 1'b1;
                	state <= WAIT_TO_READ_H;
                end
			end
			READ_H: begin
				i2c_exec <= 0;
				wait_cnt <= 0;
				if(i2c_done == 1'b1) begin    
					humidity_reg <= i2c_data_r;           
                    state <= IDLE;
                    finish_sync <= 1;
                end else begin
                	state <= READ_H;
                end
			end
			default : state <= IDLE;
		endcase
	end
end

如果需要完整的工程的,可以留言,大家一起交流

  • 4
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
很抱歉,我不是一个可以编写硬件代码的AI,我只能提供一些参考信息: AM2301是一种数字式温湿度传感器,它采用单总线通信协议,需要通过微处理器或FPGA来进行数据读取和解析。对于FPGA来说,可以使用Verilog或VHDL等硬件描述语言来编写代码。以下是一个简单的Verilog代码示例,用于读取AM2301传感器的数据: ```verilog module am2301( input clk, input reset, input data_in, output reg [39:0] data_out, output reg ready ); reg [7:0] counter; reg [39:0] data_buf; reg start_pulse, data_pulse; parameter START_PULSE_WIDTH = 80; parameter DATA_PULSE_WIDTH = 50; always @(posedge clk) begin if(reset) begin counter <= 0; data_buf <= 0; data_out <= 0; ready <= 0; start_pulse <= 0; data_pulse <= 0; end else begin case(counter) 0: begin start_pulse <= 1; counter <= counter + 1; end 1: begin start_pulse <= 0; data_pulse <= 1; counter <= counter + 1; end [2:41]: begin data_buf[counter - 2] <= data_in; counter <= counter + 1; end 42: begin data_pulse <= 0; counter <= 0; ready <= 1; data_out <= data_buf; end endcase end end endmodule ``` 该代码有一个时钟输入(`clk`)、一个复位输入(`reset`)和一个数据输入(`data_in`),输出包括一个40位的数据输出(`data_out`)和一个就绪信号(`ready`)。在时钟的上升沿触发的时候,根据计数器的状态机控制输出信号的变化。首先发送一个起始脉冲,然后发送一个数据脉冲,并将传感器返回的数据存储在`data_buf`中。当传输完成时,输出就绪信号和数据输出。请注意,此代码仅供参考,需要根据具体的FPGA硬件平台和AM2301传感器的规格进行调整。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值