quartus生成qdb文件_Quartus 中调用modelsim的流程及*.vt或*.vht自动生成

本文介绍了如何在Quartus中自动生成验证程序testbench,详细阐述了Quartus中调用Modelsim的步骤,包括设置仿真工具、编辑testbench、连接testbench和配置Native Link。同时,提供了优化Modelsim仿真脚本的技巧,以避免每次仿真时重复编译库文件,并展示了如何保存和自动加载波形设置。
摘要由CSDN通过智能技术生成

一、自动生成验证程序testbench的方法,setting-EDA Tool->simylation->选择对应的语言(verilog对应*.vt,VHDL对应*.vht)

processing-->start-->start test bench template writer.

二、Quartus 中调用modelsim的流程

1. 设定仿真工具   assignmentèsettingèEDA tool settingèsimulation 选择你需要的工具。   

2. 自动产生测试激励文件模板:   processingèstartèStart test bench template writer       我们点击之后系统会自动在目录:当前文件夹èsimulationèmodelsim (这个文件夹名字跟你选的仿真工具有关)中产生一个测试激励文件 xxx.vt(Verilog test bench) 或者 xxx.vht(VHDL test bench), 文件名跟你工程中的Top module 的名字一样, 后缀为.vt或者.vht。   

3. 编辑走动生成的test bench文件   我们加入自己需要的激励以及初始化语句,这里我们还要修改test bench的模块名字为tb(我们会看到这个名字和后面的设定有联系)。   

4. 连接test bench,我们需要从Quartus中自动调用仿真工具,所以需要设定Native Link选项。

a) 还是在simulation的设置页面里,设定 Native Link对话框中的设定。我们这里

  • 1
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值