用verilog写一个串口接收模块

下面是一个简单的Verilog代码,实现了一个串口接收模块:

module uart_rx (
    input clk,
    input rx,
    output reg [7:0] data_out,
    output reg data_valid
);

reg [3:0] rx_state;
reg [7:0] rx_data;
reg [3:0] rx_bit_cnt;

parameter IDLE = 4'b0000;
parameter START = 4'b0001;
parameter DATA = 4'b0010;
parameter
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值