UVM组件(以APB协议为例)——UVM

本文深入探讨了UVM组件在APB协议验证中的应用,包括sequencer如何发送激励,driver如何实现DUT时序激励,以及monitor、agent、environment、test的角色和功能。同时,还涉及transaction、sequence、interface等关键对象的作用。
摘要由CSDN通过智能技术生成

一、sequencer

uvm_sequencer会不断发送激励transaction,最终通过TLM端口送到driver。如果需要,uvm_sequencer也可以从driver那里获取随后的RSP对象以得知数据通信是否正常。

class apb_sequencer extends uvm_sequencer #(apb_rw); 
   `uvm_component_utils(apb_sequencer) 
   function new
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

zer0hz

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值