自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(27)
  • 资源 (7)
  • 收藏
  • 关注

原创 svn不能记住密码,反复弹出GNOME,自动重置svn.simple文件

在顶部插入下面内容, 注意,如果密码不对,则文件文法正常生效。

2024-07-15 15:27:58 249

原创 padavan固件如何设置打印机

准备工作newifi3 刷了老毛子固件一台HP打印机 型号是2130serieswin 10 操作系统确认IP我这里是192.168.123.1添加打印机在设置里面选择不在此列表手动添加选择类型输入IP等待检测选择自定义等待检测驱动器型号选着自己的型号等待完成即可如果没有对应的型号,参考这篇博客...

2020-06-06 18:05:44 14021 3

翻译 SystemVerilog-Clocking

SystemVerilog-Clocking在SystemVerilog中引入时钟块是为了解决在写testbench时对于特定时序和同步处理的要求而设计的。时钟块是在一个特定的时钟上的一系列同步的信号,它基本上能够将testbench中与时序相关的结构、函数和过程块分开,能够帮助设计人员根据transaction 和 cycle完善testbench,时钟块只能在module、interface或program中声明。First Example这里有一个简单的示例,描述了SystemVerilog中

2020-05-23 17:50:48 5790

原创 C++面向对象编程快速入门

C++面向对象编程快速入门以快速读懂代码为目的,概念与其他面向对象语言通用作者:周捷zhoujie@micron.comfoxzhou@shu.edu.cn吐血原创,侵权请客,没有科班学过,菜鸟码农​ 针对学过一点C没学过C++的人,请注意这里的代码只是为了举例说明原理,精简了很多东西,并不可以被编译的目录文章目录C++面向对象编程快速入门目录part I1. 什么是类...

2020-04-24 14:20:46 1674 1

原创 Mac OCR 软件

推荐树洞OCR主要功能,对图片中的文字可以提取,支持多种语言。

2020-03-16 18:13:46 349

原创 Mac OS Ruby版本问题

dyld: Library not loaded: /System/Library/Frameworks/Ruby.framework/Versions/2.3/usr/lib/libruby.2.3.0.dylib Referenced from: /usr/local/Cellar/macvim/8.1-157/MacVim.app/Contents/MacOS/Vim Reason:...

2020-03-16 17:53:32 431

原创 Mac OS 查找相对路径

打开访达;按住 command + shift + g 这三个键;弹出一个前往文件夹的命令后,输入相对路径,回车。

2020-03-15 21:38:05 3176

原创 编程修养-2020

编程修养前言什么是好的程序员?是不是懂得很多技术细节?还是懂底层编程?还是编程速度比较快?我觉得都不是。对于一些技术细节 来说和底层的技术,只要看帮助,查资料就能找到,对于速度快,只要编得多也就熟能生巧了。我认为好的程序员应该有以下几方面的素质:有专研精神,勤学善问、举一反三。积极向上的态度,有创造性思维。与人积极交流沟通的能力,有团队精神。谦虚谨慎,戒骄戒燥。写出的代码质量高。...

2020-03-14 09:56:51 174

原创 陈浩 Makefile 2020编辑

Makefile 使用前言在这篇文档中,将以 C/C++的源码作为我们基础,所以必然涉及一些关于 C/C++的编译 的知识,相关于这方面的内容,还请各位查看相关的编译器的文档。这里所默认的编译器是 UNIX 下的 GCC 和 CC。1. Makefile 介绍1.1 makefile 规则在讲述这个 Makefile 之前,还是让我们先来粗略地看一看 Makefile 的规则。targ...

2020-03-13 18:55:22 406

原创 tlv5638_driver

TLV5638一 顺序输出二 同时输出/**************************************************** Module Name : tlv5618 * Engineer : 小梅哥* Target Device : EP4CE10F17C8* Tool versions : Quartus II ...

2019-10-12 20:16:49 1649 1

原创 ADN8810 驱动

ADN9744驱动可以直接使用,启动信号大于一个时钟周期即可,启动信号可与写入数据同步输入,也可稍晚输入//adn8810 椹卞姩`define data_in_width 12`define data_width 16`define state_width 5`define move_width 5module adn8810( input clk_100, input r...

2019-08-31 16:54:02 1200

原创 DDC设计

设计说明:1:通过matlab做仿真,将10.6Mhz的信号下变频,2:通Your coefficient file should have each coefficient on a separate line and no carriagereturns at the end of the file. You can use floating-point or fixed-point ...

2019-05-17 11:15:28 1056

原创 定义一个容器数组,往容器里面写n*3的矩阵,通过一个类写入,最后将这个数组里面重复的类删除。

定义一个容器数组,往容器里面写n*3的矩阵,通过一个类写入,最后将这个数组里面重复的类删除。 edition one#include<stdio.h>#include<algorithm> //用sort#include<vector>#include<iostream>using namespace std;//定义一个类,包...

2019-04-25 21:16:08 286

原创 Perceptual Losses for Real-Time Style Transfer and Super-Resolution

Lcontent(p⃗,x⃗,l)=12∑i,j(Fijl−Pijl)2\mathcal{L}_{\text {content}}(\vec{p}, \vec{x}, l)=\frac{1}{2} \sum_{i, j}\left(F_{i j}^{l}-P_{i j}^{l}\right)^{2}Lcontent​(p​,x,l)=21​i,j∑​(Fijl​−Pijl​)2El=14Nl...

2019-04-23 09:20:23 101

原创 Anaconda安装PyCUDA问题

step1:pip install PyCUDA##下面两句话是配置源,用清华镜像源,第一个是添加源到路劲里,第二条语句把清华源设置为第一个#这样指定源比较好用pip install -i https://pypi.tuna.tsinghua.edu.cn/simple pandas conda config --add channels https://mirrors.tu...

2019-04-17 13:25:02 3681 1

转载 解析神经网络的Relu函数?

神经网络中的激活函数具体是什么?为什么ReLu要好过于tanh和sigmoid function? 文章目录什么是``Relu``?为什么要引入``Relu``?``Relu``有什么用?什么是Relu? 如果不用激励函数(其实相当于激励函数是f(x) = x),在这种情况下你每一层输出都是上层输入的线性函数,很容易验证,无论你神经网络有多少层,输出都是输入的线性组合,与没有隐...

2019-04-16 19:49:59 3176

原创 神经网络学习之路

– OpenCV安装与应用

2019-04-14 14:14:56 112

原创 独热编码与状态编码

独热编码:parameter Idle = 4‘b1000,Start = 4’b0100,Stop = 4’b0010,Clear = 4’b0001;Gray编码:parameter Idle = 4‘b00,Start = 4’b01,Stop = 4’b10,Clear = 4’b11;区别:对于FPGA实现的有限状态机建议采用独热码,虽然独热编码多用两个触发器,但所用...

2019-04-04 11:09:22 1110

原创 RAM一些初始化操作

matlab写coe文件[Matlab生成Xilinx Rom IP CORE的初始化内容coe文件] (https://blog.csdn.net/wordwarwordwar/article/details/52827248)

2019-03-28 10:12:14 1870

原创 如何清理编译后的vivado工程

在tcl窗口输入reset_project

2019-03-27 19:59:47 9567

原创 EG01板卡资料

稳压器LM26420-Q1FTDI Chip (芯片) 双通道 通用异步接收器/发送器, 支持RS232,RS422,RS485标准 480Mbit/s, 64引脚 FTDI 1305-c D6s1Q.1FT2232HQflash ram ,rom 区别

2019-03-24 21:19:56 1802

原创 verilog宏定义

Verilog可以像这样定义宏 `define TESTEQ1 4’b1101 。定义的宏可以用在后面的代码中,如 if (request == `TESTEQ1。使用`ifdef和`endif可以检测是否定义了某个宏,相当于条件编译。如果`ifedf调用的宏被定义过,则内部的代码将会编译;如果宏没有定义,则会编译`else中的代码。`else不是必须的,但必须有`endif。使...

2019-03-16 09:43:41 19965 1

原创 Mac 一些终端命令

激活自己的python[anaconda]环境 source activate base , base 是你的环境名字,退出base的环境 deactivate base,Conda 多环境配置

2019-03-15 23:59:09 243

原创 我的数字IC学习之路

一切的开始源于一篇博客一个开源工程网站OpenCores用vim来编写verilog 代码的一些简单技巧。一个学习git的网站,学会用git来管理自己的资料。

2019-03-12 18:10:21 3429

原创 vscode开启自动补全

mac 按住fn+f6

2019-03-05 20:28:09 8535 1

原创 如何有效的将vivado工程有效的同步到GitHub上

step1:添加环境变量如我的vivado安装目录在:D:\My_Sofewave\Xilinx\Vivado\2017.4\binstep2:关于ip系数文件, 可以通过ip container对ip进行文件进行整理,能够使文件更加清晰明了。step3:在工程下可以导出tcl文件,可以利用tcl文件,在对应文件下启动终端命令行,vivado -mode tcl -source …\S...

2019-02-28 17:12:21 1549 1

原创 HP2132打印机在macbook上怎么装驱动?

HP2132打印机在苹果电脑上怎么装驱动这里写自定义目录标题欢迎使用Markdown编辑器新的改变功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接:https://pan.baidu.com/s/1a5A7OlOwAYlHTnc8h86TJw 密码:dqpm链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一...

2019-02-17 20:03:54 9981

SVV_Labs.tar

SVV_Labs.tar

2020-07-13

ahb_sramc.tar.gz

启星基于ahb-sram设计 代码。

2020-04-05

HP_Easy_Start.app.zip

这是一款基于mac 操作系统的打印机驱动,适用型号HP2132.

2020-03-14

编程修养-2020.pdf

什么是好的程序员?是不是懂得很多技术细节?还是懂底层编程?还是编程速度比较快?我觉得都不 是。对于一些技术细节 来说和底层的技术,只要看帮助,查资料就能找到,对于速度快,只要编得多也 就熟能生巧了。

2020-03-14

Makefile.pdf

陈浩makefile 再编辑版,在这篇文档中,将以 C/C++的源码作为我们基础,所以必然涉及一些关于 C/C++的编译 的知识,相关于这方面的内容,还请各位查看相关的编译器的文档。这里所默认的编译器是 UNIX 下的 GCC 和 CC。

2020-03-13

下载封装库.zip

钽电容封装,包含各类封装,0805,0603,74343,3528,6032.。

2019-07-31

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除