Systemverilog : $sscanf系统函数

$sscanf(str,format,args)$sscanf 将字符串按照某个模板格式进行扫描,其字符串格式和C语言中的printf()函数类似
$sformat(str,format,args)$sformat是$sscanf的反函数。将字符串按照给定的格式填入相应的参数args中
$display(format,args)$display就是Verilog的printf语句,在stdout上显示格式化的字符串
$sformatf(format,args)$sformatf任务和$sformat相似,除了其返回字符串结果。字符串作为$sformatf的返回值,而不是像$sformt一样放在第一个参数上

$sccanf的三个参数,第一个是扫描对象,第二个是扫描格式,第三个是提取出来的参数。它是具有返回值的,如果扫描不成功则返回0,如果扫描成功,每提取一个数据(即args的个数),则返回值加1。提取不会改变str值,除非将str作为args。其参数的格式和各种用法可以参考:

http://docs.roxen.com/pike/7.0/tutorial/strings/sscanf.xml

$sformat则是将参数args填到format中(按照格式要求),然后将format赋值给str。

$sformatf和$sformat的不同在于$sformat没有返回值,而$sformatf有返回值,返回值就相当于$sformat的str参数。

 

关于$sscanf的用法可以参考:

https://stackoverflow.com/questions/39742519/wrong-result-by-using-sscanf-in-systemverilog

可以将一系列参数安排好格式和顺序放入一个字符串中,然后使用$sscanf提取出来放入对应的变量中(变量格式可以是字符串,整数)。也就是说用户或者自动化的脚本可以提供一个字符串,只需要将需要提供的参数都放入字符串中(需要按照一定的顺序),然后仿真环境通过提取字符串来获得必要的参数来执行任务。

  • 4
    点赞
  • 44
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值