quartus II 13.1 基础使用教程及CPLD使用


使用环境

软件:quartus II 13.1

硬件:cyclone Ⅳ E系列的EP4CE6E22C8开发板

一、工程创建及仿真:

(1).点击file目录下的new project wizard进行新工程创建

在这里插入图片描述

(1)点击下一步,选择保存路径,工程名字,顶层文件名,顶层文件一般和工程名一致,点击下一步
在这里插入图片描述

(2)添加文件,如果已经有对应的verilog文件,可以在此添加到工程中,如果没有就点击下一步
在这里插入图片描述

(3)选择对应的芯片家族和型号,这里采用的cyclone Ⅳ E系列的EP4CE6E22C8芯片,选择之后点击next
在这里插入图片描述

(4)选择仿真工具和编写语言verlog hdl,点击next
在这里插入图片描述

(5)最后选择finish工程创建完毕
在这里插入图片描述

(6)点击左上角白色菜单或者file目录下的new,新建文档,这里选择verilog HDL File文件,选择OK
在这里插入图片描述

(7)在文件中编写verilog代码,注意顶层文件名要与工程名相同,编写完成后点击保存,默认保存为顶层文件名.v,保存路径为选定的工程路径。
(8)最后点击编译综合按钮①,对编译好的文件进行编译和综合,左边可以看到综合进度,下方可以看到编译综合过程中的一些信息,包括错误和警告,中间部分是文件的一些基本信息和占用的一些逻辑资源。如果编译出现错误根据提示进行相应的修改。
在这里插入图片描述

(9)编译综合没有问题之后可以进行仿真,如果是烧录进开发板,可以暂时不用分配引脚,只进行仿真,这里采用的是modelsim仿真,需要进行仿真激励文件的编写,也就是给出输入信号,才能有输出。在编译完成之后,点击processing菜单下的start->start Test Bench Template Writer,创建Test Bench文件。在这里插入图片描述
(10)然后找到工程目录下的simulate目录下的modelsim文件夹中的.vt文件,这个就是激励文件。在其中添加我们需要给出的信号
在这里插入图片描述

在这里插入图片描述

(11)完成编写之后打开Assignment菜单下的Setting,按照如下图设置,然后点击Test Benches,再点击New
在这里插入图片描述
在这里插入图片描述

(12)输入TestBench 的名字,例化名,仿真结束时间,然后将刚才写好的.vt文件添加进来,然后点击OK

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

(13)最后再点击进行仿真,就可以看到想要的波形,再根据波形判断是否完成相应的功能,进行修改和调试。
在这里插入图片描述

二、FPGA开发板程序烧录:

首先将开发板的电源连接,下载器连接到电脑和开发板。
(1)在完成了编译综合之后会出现如下的标志,点击Program Device
在这里插入图片描述

(2)点击Hardware Setup 选择下载器连接的端口,进行添加
在这里插入图片描述

(2)选择add Device添加使用的芯片型号,点击OK,然后添加编译好的.bof文件,编译的文件默认放在工程目录下的output_files目录下,点击Add Files进行选择。
在这里插入图片描述
在这里插入图片描述

(3)出现如下界面,选择Program/Configure,然后点击start。
在这里插入图片描述

(4)Progress出现100%表示下载成功

在这里插入图片描述

三、逻辑分析仪使用:

(1)点击新建,选择SignalTap II Logic Analyzer File
在这里插入图片描述

(2)出现如图所示窗口,1为串口选择,2为扫描器件,3是添加.sof文件,4为时钟信号,5为添加端口
在这里插入图片描述

(2)双击5选择所需要分析的端口选择fileter进行筛选,然后点击list进行列举,找到所需要的端口双击,最后点击OK退出
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

(3)最后点击保存,然后再对文件进行编译综合,烧录,运行,点击下图所示分析按钮,即可对波形进行分析。也可以用专用的逻辑分析仪或者示波器。
在这里插入图片描述

  • 18
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Quartus II是一款由Intel(前身为Altera)开发的集成电路设计软件,用于设计和开发FPGA(现场可编程门阵列)和CPLD(可编程逻辑器件)应用。以下是Quartus II 13.1使用教程的简要介绍: 1. 安装和启动Quartus II:首先,您需要下载并安装Quartus II 13.1软件。安装完成后,您可以启动Quartus II并创建一个新的工程。 2. 创建工程:在Quartus II中,您可以创建一个新的工程来开始您的设计。在创建工程时,您需要选择目标设备、设置工作目录和添加源文件等。 3. 设计输入:在Quartus II中,您可以使用HDL(硬件描述语言)如VHDL或Verilog来描述您的设计。您可以创建新的源文件或导入现有的源文件。 4. 约束设置:在设计中,您需要为各个信号和时钟设置约束条件。这些约束条件包括时钟频率、时序要求等。在Quartus II中,您可以使用约束文件来定义这些约束条件。 5. 编译和综合:一旦您完成了设计输入和约束设置,您可以对设计进行编译和综合。编译过程将把您的设计转换为逻辑网表,并进行一系列优化和综合操作。 6. 下载到目标设备:完成编译后,您可以将设计下载到目标设备中进行验证和测试。在Quartus II中,您可以使用JTAG接口或者其他支持的下载方式将设计下载到FPGACPLD中。 7. 仿真和调试:Quartus II还提供了仿真和调试功能,您可以使用ModelSim等仿真工具来验证您的设计的功能和时序。 8. 生成配置文件:最后,您可以生成配置文件以便在实际部署中使用。这些配置文件包括比特流文件(bitstream)和其他必要的文件。 希望以上简要介绍对您有所帮助!如果您有任何进一步的问题,请随时提问。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值