MAC接收模块仿真

自己改写的版本:
    在以太网帧头部分,接收完目的MAC ADDR先判断MAC ADDR是否正确,若正确,则往下接收,不正确则返回状态0。
    但要注意的是eth_type在复位时要给16'hffff,不能给16'h0000,不然在判断是ip帧还是arp帧时,valid_ip会拉高一个周期(但是不影响输出结果)

    同时,为了更清晰地看到对源MAC地址的赋值过程,在复位时将源MAC赋值为48'hf

自己改写代码的仿真:前导码和帧起始界定符确认无误后,将接下来的目的MAC地址直接存入rx_dst_mac_addr

6B的目的MAC地址存完之后,立刻判断是否匹配,若匹配则拉高mac_rx_right

所参考代码的仿真:在黄线部分,将暂存的目的MAC、源MAC分别赋值给rx_dst_mac_addr和rx_src_mac_addr;下一个clk给eth_type高八位赋值;再下一个clk给eth_type低八位赋值,同时判断目的MAC地址是否匹配,若匹配则拉高mac_rx_right

修改参考代码的出发点:在接收完目的mac直接进行匹配,若不匹配则丢弃该帧,不用等到目的MAC、源MAC都接收完再判断

参考代码:mac_rx_parsing_fin.v

修改代码:mac_rx_parsing_my.v

tb文件:tb_mac_rx_parsing.v

~~~小白一枚~~~

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值