szuEDA复试第二套

第一题
系统周期T-传输延迟Tp-组合逻辑Tc>=建立时间Ts
第二题
因为SRAM是靠锁存器来存储信息,一旦掉电那么晶体管高电平将会丧失,即锁存器不能所存数据,那么数据信息将会丧失,SRAM属于时序逻辑电路。
在这里插入图片描述
第三题
为一个优化电路的题
第四题
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mendianlu is
port{a,b,c,d,e : in std_logic;
f:out std_logic};
end mendianlu;
architecture men of mendianlu is
begin
f<=not(a and b +a and c +d and e);
end men;
第五题
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity comparer is
port{cd1,cd2: in real
res:out std_logic };
end;
architecture com of comparer is
begin
process(cd1,cd2) is begin --被比较的两个数列入敏感信号表
if cd1>cd2 then --如果cd1比cd2大,那么结果输出为1
res<=‘1’;
else
res<=‘0’;
end if;
end process;
end;

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值