实现异步复位同步释放(Verilog)

1. 异步复位
一般让复位信号低电平有效,复位信号不受时钟的控制,只要复位信号有效,那么电路就会复位。对应的写法为:

always @ (posedge clk or negedge rst_n) begin
    if (!rst_n)
        xxxx;
    else 
        xxxx;
end

always块中,敏感量为两个,一个是时钟信号的上升沿,一个是复位信号的下降沿,当复位信号下降沿出现时,不论时钟信号在什么状态,都执行复位。

2. 同步复位
同步复位,即如果复位信号有效,则只能在时钟上升沿让电路复位。对应写法为:

always @ (posedge clk) begin
    if (!rst_n)
         xxxx;
    else 
        xxxx;
end

优缺点对比:
时序电路里面需要复位,异步复位相比于同步复位最大的优点就是可以节约资源。但是异步复位在上升沿时如果同时遇到时钟的上升沿,会不满足建立时间和保持时间的要求,从而使电路进入亚稳态。

为了避免亚稳态,采用异步复位、同步释放的解决方法。
Verilog代码:

module sys_rst(
	input rst_n,
	input clk,
	output reg rst_s1
);
	reg rst_s0;
	
	always@(posedge clk or negedge rst_n)begin
		if(!rst_n)begin
			rst_s0 <= 1'b0;
			rst_s1 <= 1'b0;
		end
		else begin
			rst_s0 <= 1'b1;
			rst_s1 <= rst_s0;
		end
	end
	
endmodule

仿真代码:

`timescale 1ns/1ns
module sys_rst_tb;

	reg clk;
	reg rst_n;
	wire rst_s1;
	
	sys_rst sys_rst_inst(
		.rst_n		(rst_n	),
		.clk			(clk		),
		.rst_s1		(rst_s1	)
);

	initial clk = 0;
	always#10 clk = ~clk;
	
	initial begin
		rst_n = 0;
		#100;
		rst_n = 1;
		#50;
		rst_n =0;
		#70;
		rst_n = 1;
		#200;
		$stop;
	end

endmodule

仿真波形:
在这里插入图片描述

以下是一个简单的Verilog代码示例,演示了如何实现异步复位同步释放: ```verilog module async_reset_sync_release ( input wire clk, input wire reset_n, input wire release, output reg out_data ); reg reset_sync; reg release_sync; always @(posedge clk) begin if (!reset_n) reset_sync <= 1'b0; else if (reset_sync && release_sync) reset_sync <= 1'b0; else reset_sync <= reset_n; end always @(posedge clk) begin if (reset_sync || !release) release_sync <= 1'b0; else release_sync <= release; end always @(posedge clk) begin if (reset_sync) out_data <= 1'b0; else if (release_sync) out_data <= 1'b1; // 可以添加其他逻辑处理 end endmodule ``` 在这个例子中,我们有一个异步复位输入 `reset_n` 和一个同步释放输入 `release`。我们使用两个同步触发器 `reset_sync` 和 `release_sync` 来对输入信号进行同步处理。 `reset_sync` 在时钟上升沿时更新,如果 `reset_n` 为低电平(复位状态)时为低电平,否则与 `reset_n` 相同。 `release_sync` 在时钟上升沿时更新,如果 `reset_sync` 为高电平或 `release` 为低电平时为低电平,否则与 `release` 相同。 最后,我们使用 `reset_sync` 和 `release_sync` 的值来控制输出信号 `out_data`。当 `reset_sync` 为高电平时,输出被复位为低电平。当 `release_sync` 为高电平时,输出被释放为高电平。你可以根据需要添加其他逻辑处理。 请注意,这只是一个简单的示例代码,具体的实现可能会因设计要求而有所不同。
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值