uvm_user_guide_1.2 -- ch1 Overview

本文档介绍了UVM测试平台的典型架构,包括UVM Testbench、Test、Environment、Scoreboard、Agent、Sequencer、Sequence、Driver和Monitor等组件的功能与交互。UVM Test作为顶层组件负责配置环境和添加激励,而Environment包含Agent、Scoreboard等组件。Scoreboard用于行为检查,Agent管理激励,Sequencer控制transaction,Sequence产生激励,Driver将transaction驱动到DUT,Monitor则监测DUT接口。
摘要由CSDN通过智能技术生成

1. Overview

1.1 典型UVM Testbench架构

在这里插入图片描述

1.1.1 UVM Testbench

UVM Testbench一般实例化DUT模块和UVM test类,并配置两者之间的连接。UVM test是在运行时动态实例化,允许UVM testbench可以编译一次并运行许多不同的test。

1.1.2 UVM Test

UVM Test是UVM Testbench中的顶层组件,UVM Test主要实现三个功能:实例化顶层环境,配置环境(通过工厂覆盖或配置库),通过启动UVM sequence添加从环境到DUT的激励。

一般,会有一个base test初始化环境并进行一些配置,然后其他的test继承自该base test并分别配置环境并启动各自的sequence。

1.1.3 UVM Environment

UVM Environment组合了其他相关的验证组件,在UVM Environment中实例化的组件有Agent、Scoreboard或者其他的UVM Environment。顶层UVM Environment包含了所有与DUT相关的验证组件。

1.1.4 UVM Scoreboard

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值