自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

王飞的博客

fpga学者

  • 博客(11)
  • 收藏
  • 关注

原创 转载别人fpga约束

LOC的使用1、synthesisànetlistà找到目标cell并选中,右击àCell Properties,如下图:2、复制“Name”后面的内容,这个是Cell的名字,等会LOC约束会用到;3、依次点击“WindowàDevice”打开device窗口,在窗口里找到Cell理想的位置,如下图(左);选中理想的site后,在左侧的Site Properties窗口里面的“Name”选项后面就是该Site的代号,如下图(右)。4、LOC约束语法:5、本例中最终的LOC约束为:end///

2024-04-30 11:19:49 641

原创 初学图像处理----行数据缓存

目标实现多行数据出入,3行数据同步输出。代码:module buff_top( input wire rst_n, input wire clk, input wire we, input wire [7 : 0] din, output reg [7 : 0] out_1,...

2021-09-02 18:31:15 272

原创 fpga-浮点数加减法

算法: 公式:表示的浮点数大小是:X=(-1)^sign * 1.fraction * 2^(exponent - bias)。 sign=正负(0为正,1为负) fraction=X转成二进制后除去第一位后的数字 Bias=单精度是127/双精度是1023 exponent= bias+(X的二进制移位成1.fraction的移位数) 尾数=1.fraction ...

2021-07-14 15:41:14 409

原创 fpga——浮点数加减法

这里写自定义目录标题欢迎使用Markdown编辑器新的改变功能快捷键合理的创建标题,有助于目录的生成如何改变文本的样式插入链接与图片如何插入一段漂亮的代码片生成一个适合你的列表创建一个表格设定内容居中、居左、居右SmartyPants创建一个自定义列表如何创建一个注脚注释也是必不可少的KaTeX数学公式新的甘特图功能,丰富你的文章UML 图表FLowchart流程图导出与导入导出导入欢迎使用Markdown编辑器你好! 这是你第一次使用 Markdown编辑器 所展示的欢迎页。如果你想学习如何使用Mar

2021-07-14 15:39:17 1008

原创 FPGA-----杂

////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////PL和PSPS是给 arm用的,(1)如果要用MIO控制输入输出则在MIO Configuration中配置一个mio。 //banks0-1 (2)如果要用EMIO控制输入输出则在...

2021-06-28 20:11:25 567

原创 fpga---基础工程搭建

*******设计流程***********1.新建工程2.添加IP核ZYNQ7处理系统;3.进行系统模块信息配置; PS-PL Configuration 页面能够配置 PS-PL 接口,包括 AXI、 HP、UART波特率 和 ACP 总线接口。 Peripheral IO Pins 页面可以为不同的 I/O 外设选择 MIO/EMIO 配置。 MIO Configuration 页面可以为不同的 I/O 外设具体配置 MIO/EMIO。 ...

2021-06-28 20:09:24 163

原创 FPGA---axi4_lite/axi4

axi4_liteaxi_bram_ctrl_2 your_instance_name ( .s_axi_aclk(s_axi_aclk), // input wire s_axi_aclk 全局时钟信号 .s_axi_aresetn(s_axi_aresetn), // input wire s_axi_aresetn 全局复位信号,低有效 .s_axi_awaddr(s_axi_awaddr), // input wi...

2021-06-28 20:07:44 322

原创 FPGA---常用协议(uart协议:232、485)

232和485的区别在于一个全双工,一个半双工。232可以一边读一边写,485要么读,要么写,通过一根使能信号来切换。232接口:rx、tx。485接口:rx、tx、en。【范例1:rx】library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY myUART115200_rx ISPORT ( bclk :...

2021-06-28 20:04:08 896

原创 FPGA----常用协议2(iic)

范例代码:module i2c_dri #( parameter SLAVE_ADDR = 7'b1010000 , //EEPROM从机地址 parameter CLK_FREQ = 26'd50_000_000, //模块输入的时钟频率 parameter I2C_FREQ = 18'd250_000 //IIC_SCL的时钟频率 ) ( ...

2021-06-28 19:57:41 223

原创 FPGA---常用协议1(spi协议)

【1】spi协议:SPI是同步串行通信接口。 SPI是一种高速的、全双工、同步通信总线,标准的SPI也仅仅使用4个引脚, 它主要是主从方式通信,这种模式通常只有一个主机和一个或者多个从机,标准的SPI是4根线, 分别是SCLK(时钟,也写作SCK): 通信的整个过程分为【空闲时刻】和【通信时刻】 SCLK在数据发送之前和之后的空闲状态是高电平,那么CPOL=1,那么数据输出在下降沿,数据采样在上升沿。 ...

2021-06-28 19:49:31 412

原创 初学FPGA---基础知识

1.逻辑与或非!&&||,一般在括号里面用,与或非~&|,在赋值里面用。2.常量定义用:范例 localparam a=20; 应用于代码中 parameter WOKE_MODE = "MAST";应用于定义中,#()中3:begin end 代替大括号{}4:assign a ? 1'b0:1'b1;5: posedge 上升沿 negedge 下降沿 ...

2021-06-28 19:46:14 291

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除