自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1)
  • 收藏
  • 关注

原创 EDA时钟显示

一,实验要求;通过数码管进行秒的个位,秒的十位,分的个位,分的十位的时钟显示。 二,总体思路: 用PLL锁相环分频把20Mhz的信号分频为2Mhz,然后在通过计数器把2Mhz的频率分频为1hz,1hz就是1秒,然后进行计数,计数完以后通过60进制的BCD码,然后通过4选一的数据选择器把数据进行存取,存取完以后然后通过数码管的译码逻辑进行数码显示,显示完以后为了进行位选,在通过分频器进行分频,通过人的视觉暂留,让四个数码管同时显示,进行秒的个位,十位,分的个位显示。 三,各模块的功能 1,分频:通过PLL锁相

2020-12-27 11:24:01 2396 3

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除