自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(17)
  • 收藏
  • 关注

原创 【总结】PPT如何写的更美观

待补充内容。

2021-09-04 07:10:03 1127

原创 systemverilog覆盖收集函数get_coverage的用法实例

//data declaratinsclass dec_t;//constants//localparam byte??//specparm int??//const logic//lifetime//aliasint st_i;//automatic int auto_i;rand bit [4:0] a;rand bit [3:0] b;rand bit c;function new();endfunctionfunction dec_t_f(int a,ref int

2021-08-26 06:54:10 1501

原创 systemverilog程序和接口管理---几个必须搞懂的重要概念

过程语句和子程序:1、标识符的使用;2、void 函数;3、函数或者任务参数:方向,ref/const、缺失值、数组传参;4、retrun,continue,break;5、精度和时间;interface定义:1、接口定义,简化调用,方便重用;2、modport:分组,定义方向;好出-符合实际应用需求;3、时钟块:dut和测试平台的信号同步;基础上sv引入的时间快调度区域;4、时钟产生器;sv引入的时间块:active:仿真模块中的设计代码;observed:执行systemver

2021-08-16 07:47:10 287

转载 【转载】2021-08-02 如何使用SV宏

     前 言     本文主要给大家介绍SV宏,谈到SV宏大家应该不会感到陌生,因为大家在做前端设计或验证的时候会用到`define定义宏,进行条件编译,或者使用宏来定义参数等,做前端验证的工程师会使用`define来定义一些信号路径等。这些SV宏的用法都是比较常规的用法,很少有验证工程师使用SV宏来处理一些其他的事情。猜其原因主要是大家对...

2021-08-03 07:49:23 2498

原创 【PSS】PSS学习总结目录

PSS的论文和参考材料学习了一段时间,下面是总结的主要提纲,后续把内容扩展开来:1、业界验证技术发展(PSS发展的背景)1)、verilog2)、systemverilog3)、UVM4)、PSS2、PSS技术简介(PSS是什么及主要特性) 1)、PSS的概念 2)、PSS的价值-复用策略 3)、PSS的价值-自动化 4)、PSS的流程和原理3、...

2021-08-02 07:53:59 1122 2

原创 测试建模(步骤)

1、SUT建模SUT->SUT模型转换输入:需求规格、功能思路:系统化思维,多视角观察(上下文、业务流程、系统行为和变量关系);对系统进行抽象,突出关键特征,忽略掉实现细节;建立输入信息之间关联2、TRM建模SUT模型->TRM模型转换输入:SUT模型;测试信息,输入和响应;测试风险,测试经验;思路:给予SUT模型,在增加输入条件,响应和风险等扩展SUT分支和测试信息;转换成组合模型或者状态机模型;组合模型:分离行为主体和变量组合关系3、芯片验证S

2021-08-02 07:16:47 1338

原创 PSS-验证用例建模和自动化生成

最近研究芯片验证用例自动化,主要了解到的知识包括:1、PSS 语言标准和infact工具方法、原理;2、MTB 测试建模方法,其中还分了很对类型的测试建模方法,如:路径建模,状态机建模,数据建模,以及数据驱动建模;3、UML 中的用例建模方法;总体的目的还是通过抽象建模测试对象,然后通过工具完成自动化生成用例。PSS的设计思路则是借用建模思想,同时又融合芯片验证的主要验证语言特性,包括覆盖率、随机约束等而产生的。个人理解,IC领域三大厂商之所以在力推PSS的标准化,一个是解决这个问题

2021-07-22 07:20:44 1361

原创 UVM-TLM机制解读

第一:要了解TLM几个级别术语:如put/get、port/export/transport。同时了解各类port接口连接规则。这些规则的理解是做好TB 所有端口连接的基础。第二:两类TLM端口应用场景,即sequencer和driver的连接,以及其他component的连接第三:TLM实现的底层逻辑,也就是UVM源码内部如何实现port连接1)、uvm_port_component类的定义,该类包含port内部最重要的接口定义和变量;2)、uvm_port_base类,所有的

2021-07-11 22:28:13 691

原创 UVM-phase机制解读

2021-07-03 08:46:12 189

原创 【Python】IC验证脚本能力要求

做芯片验证的,之前部门讨论了。关注主要在质量和效率。质量不用多说,芯片设计,质量第一。验证效率,则体现在各方面。脚本能力是其中一个重要的体现,python是当前主流的脚本语言,部门和公司都在主推。而且这个语言跟人工智能相关性非常大,有必要多了解。...

2020-02-02 22:37:47 3063

原创 【SV】Systemverilog功能覆盖率

sv的功能覆盖率在验证中还是比较重要的,可以通过建模和验证覆盖来体现功能覆盖的完备性。与代码覆盖率关注点差别比较大,相互之间是强烈的补充关系。

2019-11-24 21:27:59 3383

原创 【能力地图】芯片EDA验证的几个关键能力

跟伙伴们讨论,芯片EDA验证的几个关键基本能力。1),业务能力;2),debug能力;3),测试点分解能力;4),完备性证明能力;5),个人验证计划管理能力;这几点还是说到点子上了。另,sv和uvm的平台搭建能力,这个也很基本。...

2019-11-13 22:54:27 1158

原创 【SV】systemverilog 类的使用,需弄懂的“两个问题”

类是systemverilog中最基础的概念之一。其中的概念基本上跟C++等软件语言中差不多,有软件基础的同学,对这个学习起来就比较快。本文就弄懂两个问题,如何定义类,如何使用类。

2019-11-10 22:57:10 5334

原创 【能力地图】芯片验证篇

做芯片验证的要对芯片设计过程中的所用的工具和所需要具备的能力了如执掌,否则只能始终是处在一个点上,无法知悉如何才能保证芯片设计的质量。而我觉得能端到端掌控芯片设计的验证质量,是搞验证人的努力方向。

2019-11-09 22:28:06 2089

原创 【SV】通过“三个问题”了解systemverilog的进程并发与进程通信

线程是sv验证平台各组件的基本重要组成部分,每个组件的主要动作基本上是在各线程中实现的。

2019-11-05 23:17:24 2199

原创 【SV】通过“四个问题”了解systemverilog数据类型

数据类型是sv的基础,灵活的使用各种数据类型是搭建sv验证平台的基础中的基础。本文章提出四个问题,以问题解答的方式,全面的熟悉sv数据类型。

2019-11-03 22:54:08 825 1

原创 【SV】2019-10-27~2019-11-27

一个月时间跟兄弟们把sv绿皮书学习透,大家一起提升编程能力。

2019-10-27 21:41:28 227

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除