vhdl
文章平均质量分 50
朝饮坠露兮
Search for Web Log Records
展开
-
【Quartus Ⅱ】软件基础使用方法
quartus使用技巧原创 2022-06-21 15:19:41 · 182 阅读 · 0 评论 -
VHDL程序:四位乘法器
VHDL程序:四位乘法器--1. IF语句行为级描述library ieee; use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity multip_4 is port (a,b:in std_logic_vector(3 downto 0); y:out std_logic_vector(7 downto 0));end multip_4;architecture ......原创 2021-05-22 23:52:53 · 2041 阅读 · 2 评论