verilog 8位计数器

基于状态机的思想,实现8位计数器

verilog代码

module jsq8(clk,clr,z,qout);
input clk,clr; output reg z; output reg[2:0] qout;
always @(posedge clk or posedge clr) 		//此过程定义状态转换
begin	if(clr) qout<=0;              		//异步复位
		else  case(qout)
		3'b000: qout<=3'b001;
		3'b001: qout<=3'b010;
		3'b010: qout<=3'b011;
		3'b011: qout<=3'b100;
		3'b100: qout<=3'b101;
		3'b101: qout<=3'b110;
		3'b110: qout<=3'b111;
		3'b111: qout<=3'b000;
		default: qout<=3'b000;	/*default语句*/
		endcase
end
always @(qout) 				/*此过程产生输出逻辑*/
begin  case(qout)
		3'b100: z=1'b1;
		default:z=1'b0;
endcase
end
endmodule

testbench文件

`timescale 1 ns/ 1 ps
module jsq8_vlg_tst();
// constants                                           
// general purpose registers
reg eachvec;
// test vector input registers
reg clk;
reg clr;
                                          
wire [2:0]  qout;
wire z;
                       
jsq8 i1 (   
	.clk(clk),
	.clr(clr),
	.qout(qout),
	.z(z)
);
initial                                                
fork    
clr=1;clk=0;                                         
#5 clr=1;
#15 clr=0;
join
always
begin
#10 clk=~clk;               
end                                                    
endmodule

仿真图片(十进制显示)
仿真

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值