2021-04-13

SV接口interface的笔记

今天试着完成了一版spi的接口代码的书写,对于一个interface.sv,首先要明确,接口是一个连接dut和testbench的桥梁,它可以没有方向,只要罗列出待测信号,然后包含在interface里就可以,比如:
interface intf(input bit Clk,Rst,Start);
//logic Rst;
logic Miso;
logic Mosi;
logic Sclk;
logic Ss;
//logic Start;
logic [15:0] Din_M,Din_S; // input for master and slave
logic [15:0] Dout_M,DoutS; // output for master and slave
logic Busy;
logic New_data;
logic Done;

modport DUT(output Miso,Mosi,Ss,Sclk,New_data,Busy,Done,Dout_M,DoutS,input Rst,Start,Din_M,Din_S);
//modport暂时不考虑使用
endinterface

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值