2021-4-8

                  ## 波形生成方法笔记##

1,shm文件是Cadence公司NC verilog和Simvision支持的波形文件,实际上.shm是一个目录,包含了.dsn和.trn两个文件。
shm文件的生成:
initial begin
$shm_open("**.shm");
$shm_probe(“AST”);
end
2,fsdb文件相比较于shm文件更节省内存,是Novas公司Debussy和Verdi支持的波形文件。由于fsdb文件数据量小,仿真速度更快。
fsdb文件的生成:
initial begin
$fsdbDumpfile(".fsdb");-----------指定FSDB文件名
$fsdbDumpvals(o,
);---------------指定FSDB文件的变量
end
3,VCD文件。
待补充。。。。未完待续。。。。。。

ps:我目前只用过ncsim工具的shm文件。(lll¬ω¬)。fsdb文件进行中。

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值