自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(18)
  • 收藏
  • 关注

原创 sdhost的makefile脚本

数字IC验证

2022-09-10 21:30:56 570 1

原创 IC验证学习顺序与知识点

近几年,随着贸易战等一系列因素,国家对集成电路也加大了支持,IC行业的工资普遍在30W以上,因此越来越多的应届生选择自学IC,如果你本科或研究生就是信通/电子信息/微电子/电子科学与技术等相关专业,那么在应聘时就是企业眼里的对口专业,上述专业都学过数电模电,数集模集等基本专业课,会稍微占有优势。如果你本科是非相关专业,额外需要自己多补充一些IC类的相关专业课。但二者相同的是必须要有IC的项目去支撑,自学也好,报班也好,去网上找资料也好,或者有人导师就是干IC的等等,总之,简历上一定要有一个你熟稔于心的项目。

2022-05-25 15:19:40 5593 1

原创 2021秋招IC验证面经-ARM中国/中科芯/飞腾/地平线/中兴

中科芯(央企福利待遇好,但是百分百不解决户口,适合当地人进去躺平。)现场面试,三个面试官,时间大约40min首先介绍一下自己,介绍一下项目。所做项目用到了FIFO吗,深度是多少,位宽是多少,仲裁算法是什么?验证环境中的reference model是自己写的还是本身就有的,仲裁怎么在参考模型中实现,参考模型怎么获取寄存器的值?怎么配置RTL寄存器,通过平台的寄存器模型进行寄存器配置,寄存器模型里边的方法,写一个寄存器或读一个寄存器怎么实现?寄存器如何实现前门访问和后门访问,后门访问时

2022-05-24 15:28:04 5831

原创 2021秋招IC验证面经-华为/英伟达/兆易创新/ZeKu

英伟达一面:一个IC设计面试官 一个IC验证面试官 时间一小时外企一般上来都是英文的自我介绍介绍简历中的项目 然后根据你所介绍的内容进行提问,问到了异步FIFO 单端口RAM 和 仲裁跨时钟域的方法 这个问题其实很多公司都问过低功耗的实现方法 自己做过工艺吗 工艺制备过程对功耗有何影响fork join/fork join none/fork join any的区别队列中插入数据的方法 为什么想来英伟达二面:AMBA总线协议 APB/AHB/AXI的区别和联系UART I

2022-05-24 11:36:38 5717

原创 IC验证面试UVM/SV51-60

51. 当你拿到一个项目(DUT待测设计)的时候,你要怎么开展验证活动?(1)首先理解DUT的spec文档,理解待测设计(2)做出验证计划,写出功能点,testbench的文档描述等(3)搭建验证环境(4)编写测试用例(5)大规模随机,手机覆盖率(6)写验证报告最终保证完备性和正确性。需要注意的时候,此类问题在面试中,是高频考点,这可以直接看出你是否真正的做过项目,尤其要针对简历中所写的项目进行此列问题的制备,包括具体项目的功能点有什么,你又是如何针对功能点编写testcas

2022-05-23 21:57:32 2374 2

原创 IC验证-SDHOST项目2

41. 说说你对UVM的理解 (恩智浦和百度面试题,实际很多公司都问过)1.模块化可重用性:该验证方法被分为模块化组件(驱动程序,序列发生器,代理,env等),可以将组件级别之间的组件重用于多单元或芯片级别验证以及跨项目。2.将测试用例与验证平台分开:测试用例与实际的测试平台层次结构分开,因此可以在不同的单元或跨项目中重用激励3.独立于仿真器:所有仿真器都支持基类库和方法,因此不依赖于任何特定的仿真器4. 更好地控制激励产生:sequence方法可以很好地控制激励的产生,有一些方法可以开发序

2022-05-15 21:50:07 1715 6

原创 IC验证UVM/SV-41-50

41. 说说你对UVM的理解 (恩智浦和百度面试题,实际很多公司都问过)1.模块化可重用性:该验证方法被分为模块化组件(驱动程序,序列发生器,代理,env等),可以将组件级别之间的组件重用于多单元或芯片级别验证以及跨项目。2.将测试用例与验证平台分开:测试用例与实际的测试平台层次结构分开,因此可以在不同的单元或跨项目中重用激励3.独立于仿真器:所有仿真器都支持基类库和方法,因此不依赖于任何特定的仿真器4. 更好地控制激励产生:sequence方法可以很好地控制激励的产生,有一些方法可以开发序

2022-05-13 22:32:05 1233

原创 IC面试中验证常问的设计问题

1. 常用的低功耗方法有哪些时钟门控: 在数据无效时,将寄存器时钟关闭的技术,能够有效降低功耗,是低功耗设计的重要方法之一,在寄存器的输入数据无效时,将寄存器的输入时钟设置为0,而此时寄存器的值保持不变,此时没有时钟翻转,避免了动态功耗。多阈值电压技术:不同器件阈值电压与其漏电流负相关,低阈值电压标准单元:速度快,泄漏功耗高,高阈值电压标准单元:速度慢,泄漏功耗低,在不同的时序路径上使用不同阈值电压的标准单元来降低漏电流,可以达到降低漏电功耗的目的。多电压技术:是指将不同逻辑模块处于不同的电源域

2022-05-01 21:14:39 809

原创 SV知识点回顾

SV是IC验证必须要会的内容,在面试中也经常被问到,下面将以System Verilog书本中的顺序,逐步讲解。1. 数据类型Verilog中的数据类型有wire和reg,二者都是四值逻辑(0,1,x,z),还有integer(可综合),time(64bit无符号整数,不可综合),real(浮点数)。SV中引入了需要两态数据类型,比如bit int 等。还引入了四值逻辑logic类型,它是wire和req的一个结合,这样我们可以不再理会数据接口的类型直接定义为logic就可以,但是logic不可用于

2022-04-21 21:30:19 3466

原创 AMD(验证、DFT)面试经验

验证面试官1:1.英文自我介绍 是否有实习经验等2.时序逻辑和非时序逻辑的区别3.同步复位和异步复位的区别4.跨时钟域传输,单bit传输和多bit传输5.多bit用异步FIFO,读写指针有什么要注意的(格雷码)面试官2:1. 介绍一下自己实习公司的验证项目 ,没有实习经验的可以说一下自己学习的验证项目(AMD比较看重实习经验,因为外企主要靠自学,没有人一对一带你,所以有实习经验的比较优先,但是从目前市场发展看,博主认识的一位本科双非的本科生工作三年后也成功跳入外企大厂,所以相

2022-04-19 18:00:36 5500 1

原创 IC验证UCM/SV-31-40

31. 断言分为几种?简述一下断言的用法。(SVA三要素)断言可以分为理解立即断言和并发断言,立即断言就是和时序无关,比如我们再对激励随机化时,我们就会使用立即断言。如果随机化出错我们就会触发断言报错。并发断言主要是用来检测时序关系的,由于在很多模块或总线中,单纯使用覆盖率或者事务check并不能完全检测多个时序信号之间的关系,但是并发断言却可以使用间接的语言去监测,除此之外,还可以进行覆盖率检测。并发断言的用法主要有三个层次,第一是激励序列sequence的编写,将多个信号的关系用断言中特定的

2022-04-17 21:14:54 889

原创 IC验证-SDHOST项目1

现在越来越多的人转行做IC验证,以至于校招中仅有一个项目明显处于劣势,一般来说比较好入手的项目有SRAMC、SPI、MCDF、SDHOST等。要了解SDHOST项目首先要了解它的结构以及功能和特性1. 控制器AHB总线接口数据处理符合AMBA2.0协议2.具备多个控制配置寄存器,可以实现对命令和数据的控制。3.build in DMA ,支持AHB总线 single/INCR传输4.支持对卡的单块、多块读写和读写加速5.与系统内SDIO模块共享FIFO和DMS模块6.内含相应的寄

2022-04-17 19:09:15 3044 9

原创 Phase机制讲解(IC验证面试)

UVM中的phase按照其是否消耗仿真时间($time打印出的时间)可以分为两大类,一类是function phase(不耗费仿真时间),另一类是task phase(耗费仿真时间)。task phase也可以叫做run_ phase ,给DUT施加激励、监测DUT的输出都是在这些phase中完成的。task phase中run_phase和十二个小phase并行运行。(该运行关系面试常问)phase机制中使用频率最高的三个phase (build_phase 、connect_phase、main

2022-04-06 11:45:22 2945

原创 IC验证面试-SV/UVM21-30

21. 竞争与冒险以及解决的途径?竞争:在组合逻辑电路中,门电路输入信号由于经过不同的路径,到达该门的时间就有先后不一样,这种现象成为竞争。冒险:多路信号的电平值发生变化,在信号变化的瞬间,组合逻辑输出有先有后,并不是同时变化,往往会出现一些不正确的尖峰信号,这些尖峰信号成为毛刺,如果一个组合逻辑电路中有毛刺出现,就说明该电路存在冒险。竞争与冒险产生的原因:由于延迟时间的存在,当一个输入信号经过多条路径传送后又重新汇合到某个门上,由于不同路径上门的级数不同,或者门电路的延迟时间差异,导致到达..

2021-10-14 14:21:29 2110

原创 IC验证面试-UVM/SV 11-20

11. 声明和例化有什么区别声明是声明一个变量,其中保存类对象的句柄例化是通过构造函数,创建对象,分配内存空间,并将声明的句柄指向这段内存空间,虽然sv中可以在声明对象的同时例化对象,但是不建议如此,一般是在块语句之外声明类对象,在块语句内例化对象,可以控制对象的实例化顺序。12. 什么是uvm_config_db?它的作用是什么?uvm_config_db配置数据支持在测试平台的不同组件之间共享配置参数,任何测试平台都可以配置参数,其他组件可以从配置数据库访问这些参数,而无需知道其在层次结

2021-10-10 20:24:13 2205

原创 IC验证面试-UVM/SV 1-10

1.AHB SRAMC主要做了哪些事情?一般从三个维度来回答,首先是待测设计的top结构,其次是数据流图,最后是主要功能。(1) AHB SRAMC是挂接在AHB总线上的,AHB的slave,里面包含SRAM controller和八个SRAM颗粒。(2)它主要完成总线的读写请求,AHB master发出写的命令,SRAMC控制器收到后,将其从AHB时序转换为SRAM时序,然后发给SRAM,将数据写入,AHB master发出读的命令,SRAM控制器收到后,将其转换为SRAM的读时序,进行数据的

2021-10-10 17:13:56 3980 2

原创 SRAMC测试用例解析234

解析1中主要是介绍了该项目的随机读写功能,此外还有很重要的功能点比如低功耗,8/16/32位随机读写等等。第二个测试用例是sramc_test_addr.sv代码第一行首先继承于sramc_test_base,然后同理,首先extern function new(string name, uvm_componment parent=null);extern task main_phase(uvm_phase phase);然后在main phase中 进行与解析1,同样的事情,只不过这次

2021-10-09 15:10:17 1046

原创 SRAMC测试用例解析1

介绍项目:SRAMC项目首先来讲述一下sramc的主要功能AHB总线上有地址(haddr),控制信号(htrans,hwrite,hburst),数据信号(hwdata,hrdata)给到ahb_interface_if,经过处理转换为可以控制后面core的sram信号,之后再控制sram进行读写操作,sram里面有bank选择信号bank0_csn[3:0],bank1_csn[3:0],以及二级片选信号sram_csn,来控制后面的sram_core,sram_core分为两个bank,每个ba

2021-10-09 14:48:37 1545

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除