自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(9)
  • 收藏
  • 关注

原创 主机IIC的FPGA实现

代码很完善,很适合大家学习IIC协议的FPGA实现。

2024-08-03 15:18:40 82

原创 分享一个串口和上位机通讯

上位机发送指令给串口,通过mcu驱动fpga或者其他需要外设,包含包头包尾以及指令。

2024-04-20 11:04:24 143

原创 针对OV5640(16位寄存器位宽)的sccb接口

sccb不需要判断应答位。

2024-04-16 22:55:11 149 1

原创 FPGA实现高斯滤波(附代码)

通过xilinx FPGA实现高斯滤波

2023-12-13 20:06:17 683 3

原创 MATLAB图像转txt文件以及txt文件的图像显示

【代码】MATLAB图像转txt文件以及txt文件的图像显示。

2023-12-11 16:15:18 1240 4

原创 rgmii与gmii相互转换

gmii与rgmii转换

2023-09-15 11:35:11 896 1

原创 Xilinx 7serial:RGB转TMDS

【代码】Xilinx 7serial:RGB转TMDS。

2023-09-07 15:52:38 124

原创 FPGA学习:TMDS协议编码与解码测试

基于FPGA的tmds协议编码与解码。

2022-12-08 20:08:09 1538

原创 FPGA学习:基于FPGA的异步FIFO设计

异步FIFO,FPGA

2022-11-30 16:52:24 321 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除