CC2530基础实验:(8)串口通讯-发送字符串控制LED

 目录

        前言

        一、实验相关电路图

        二、实验相关理论与寄存器

                1.并行通信与串行通信

                2.URAT

                3.同步通信与异步通信

                4.外设I/O

                5.CC2530 的串口通信模块

                6.相关寄存器

        三、源码分析


前言

本实验用于学习CC2530芯片:

  • 发送与接收字符串的串口配置与使用
  • 通过发送不同的字符来控制LED的状态 

本实验是在CC2530基础实验:(6)串口通讯-发送与接收字符串 基础上进行的拓展,这两节理论基本相同

一、实验相关电路图

P0_2、 P0_3 配置为外设功能时: P0_2 为 RX, P0_3 为 TX。USART0 和 USART1 是串行通信接口,它们能够分别运行于异步 UART 模式或者同步 SPI 模式。两个 USART 具有同样的功能,可以设置在单独的 I/O 引脚。更详细的说明请参考数据手册 7.6 和16.1 节。

二、实验相关理论与寄存器

1.并行通信与串行通信

        微控制器与外设之间的数据通信,根据连线结构和传送方式的不同,可以分为两种:并行通信和串行通信。
        并行通信:指数据的各位同时发送或接收,每个数据位使用单独的一条导线。传输速度快、效率高,但需要的数据线较多,成本高。
        串行通信:指数据一位接一位地顺序发送或接收。需要的数据线少,成本低,但传输速度慢,效率低。

2.URAT

        UART,即通用异步收发传输器(Universal Asynchronous Receiver/Transmitter),是一种异步收发传输器,是电脑硬件的一部分。它将要传输的资料在串行通信与并行通信之间加以转换。作为把并行输入信号转成串行输出信号的芯片,UART通常被集成于其他通讯接口的连结上。我们通常说的串口——UART包含TTL电平和RS-232电平两种,在嵌入式系统里面,单片机的串口一般都是TTL电平。
        UART因为有两根线数据线TX和RX,可以以全双工的形式进行发送和接收数据,同一时刻,两条链路的发送器和接收器可以同时传输数据。

3.同步通信与异步通信

        同步是指:发送方发出数据后,等接收方发回响应以后才发下一个数据包的通讯方式。
        异步是指:发送方发出数据后,不等接收方发回响应,接着发送下个数据包的通讯方式。
其中SPI IIC为同步通信,UART为异步通信,USART为同步&异步通信(STM32有所应用)。  

4.外设I/O

        I/O接口是介于CPU和外设之间的一种缓冲电路,在CPU和外设之间起到速度匹配、信号转换(数据传输)等作用。对于USART 和定时器 I/O,在一个数字 I/O 引脚上选择外设 I/O 功能,需要设置对应的 PxSEL 位为 1。
        注意,该外部单元具有两个可以选择的位置对应它们的 I/O 引脚,详情见下表。如果有关于 I/O 映射的冲突设置,可以在这些之间设置优先级(使用 P2SEL.PRIxP1 和 P2DIR.PRIP0 位)。所有不会导致冲突的组合都可以使用。注意即使没有使用,外设一般也会出现在选定的位置,使用引脚的其他外设必须给予较高的优先级。例外情况是流量控制禁用时 UART 模式下 USART 的 RTS 和 CTS 引脚, 以及 SPI 主模式下 USART 配置的 SSN 引脚。
        还要注意 PxINP 的设置,有输入引脚的外设单元是从引脚接收输入,这可能会影响外设单元的状态。例如如果 RX 引脚在用作一个 UART 引脚之前,可能已经有活动, UART 在使用之前必须被清除。

部分外设I/O引脚映射

按照表格寄存器的内容, 对 T3 进行配置,由于定时器 T3 为 8 位,所以配置稍有不同。

5.CC2530 的串口通信模块

        CC2530 有两个串行通信接口 USART0 和 USART1,它们能够分别运行于异步 UART 模式或者同步 SPI 模式。两个 USART 接口具有相同的功能,通过 PERCFG 寄存器可以设置两个 USART 接口对应外部I/O 引脚的映射关系:

位置1RX0 --- P0_2   TX0 --- P0_3RX1 --- P0_5   TX1 --- P0_4
位置2RX0 --- P1_4   TX0 --- P1_5RX1 --- P1_7   TX1 --- P1_6

对每个 USART 串口通信编程,本质是设置相关的 5 个寄存器:
<1> UxCSR: USARTx 的控制和状态寄存器。
<2> UxUCR: USARTx 的 UART 控制寄存器。
<3> UxGCR: USARTx 的通用控制寄存器。
<4> UxDBUF: USARTx 的接收/发送数据缓冲寄存器。
<5> UxBAUD: USARTx 的波特率控制寄存器

6.相关寄存器

CC2530 单片机的 UART 接口则是 TTL 电平, 现在电脑一般去掉 232 串口了, 所以开发板自带了usb 转串口的芯片, 接上 usb 线装好驱动就可以和 2530 通讯。
相关寄存器 UxCSR、 UxCSR、 UxGCR、 UxBUF、 UxBAUD、 CLKCONCMD、 CLKCONSTA如下表所示:

寄存器描述

U0CSR (0x86)–

USART0控制和状态

Bit[7] MODE

USART模式选择

0:SPI模式   1:UART模式

Bit[6] RE

UART接收器使能

0:禁用接收器   1:接收器使能

Bit[5] SLAVE

SP主或者从模式选择

0:SPI主模式    1:SPI从模式

Bit[4] FEUART帧错误状态
0:无帧错误检测    1:字节收到不正确停止位级别
Bit[3] ERR

UART奇偶错误状态

0:无奇偶错误检测   1:字节收到奇偶错误

Bit[2] RX_BYTE

接收字节状态
0:没有收到字节   1:准备好接收字节

Bit[1] TX_BYTE

传送字节状态

0字节没有被传送   1写到数据缓存寄存器的最后字节被传送

Bit[0] ACTIVEUSART传送/接收主动状态、在SPI从模式下该位等于从模式选择
0:USART空闲    1:在传送或者接收模式USART忙碌
U0GCR (0xC5)–USART0通用控制Bit[7] CPOL

SPI的时钟极性

0:负时钟极性   1:正时钟极性

Bit[6] CPHASPI时钟相位
0:当SCK从CPOL倒置到CPOL时数据输出到MOSI,并且当SCK从CPOL倒置到CPOL时数据输入抽样到MISO。
1:当SCK从CPOL倒置到CPOL时数据输出到MOSI,并且当SCK从CPOL倒置到CPOL时数据输入抽样到MISO
Bit[5] ORDER

传送位顺序

0:LSB先传送   1:MSB先传送

Bit[4:0] BAUD_E

波特率指数值。BAUD_E和BAUD_M决定了UART波特率和SPI的主SCK时钟频率

U0BAUD (0xC2–
USART 0波特率控制
BAUD_M[7:0]波特率小数部分的值。BAUD_E和BAUD_M决定了UART的波特率和SPI的主SCK时钟频率
U0DBUFUSART 0接收/发送数据缓存
UTX0IF(发送中断标志)IRCON2 Bit1

USART 0 TX中断标志

0:无中断未决   1:中断未决

CLKCONCMD时钟控制命令Bit[7] OSC32K32 kHz时钟振荡器选择
0:32 kHz XOSC   1:32 kHz RCOSC
Bit[6] OSC

系统时钟源选择
0:32 MHz XOSC   1:16 MHz RCOSC

Bit[5:3] TICKSPD

定时器标记输出设置
000:32 MHz  001:16 MHz  010:8 MHz   011:4 MHz

100:2 MHz    101:1 MHz   110:500 kHz 111:250 kHz

Bit[2:0] CLKSP

时钟速度
000:32 MHz  001:16 MHz   010:8 MHz    011:4 MHz

100:2 MHz    101:1 MHz     110:500 kHz  111:250 kHz

CLKCONSTACLKCONSTA寄存器是一个只读寄存器,用来获得当前时钟状态
PERCFG(部分位)Bit[0] U0CFG

USART0的I/O位置

0:备用位置1   1:备用位置2

P0SELBit[7:0] SELP0

P0.7到P0.0功能选择

0:通用I/O       1:外设功能

P2DIR(部分位和功能)PRIP0[1:0]

端口0外设优先级控制。当PERCFG分配给一些外设到相同引脚的时候,这些位将确定优先级。

00:                                 第1优先级:USART0     

第2优先级:USART1       第3优先级:定时器1

由寄存器UxBAUD.BAUD_M[7:0]和UxGCR.BAUD_E[4:0]定义波特率。该波特率用于UART传送,也用于SPI传送的串行时钟速率。波特率由下式给出:

其中F是系统时钟频率,等于16 MHz RCOSC或者32 MHz XOSC。
32 MHz系统时钟常用的波特率设置:

CC2530 配置串口的一般步骤:
1、 配置 IO,使用外部设备功能。 此处配置 P0_2 和 P0_3 用作串口 UART0
2、 配置相应串口的控制和状态寄存器。
3、 配置串口工作的波特率。
寄存器具体配置如下:

PERCFG = 0x00; //位置 1 P0 口
P0SEL = 0x0c; //P0_2,P0_3 用作串口(外部设备功能)
P2DIR &= ~0XC0; //P0 优先作为 UART0
U0CSR |= 0x80; //设置为 UART 方式
U0GCR |= 11;
U0BAUD |= 216; //波特率设为 115200 根据上面表中获得的数据
UTX0IF = 0; //UART0 TX 中断标志初始置位 0
//下两行是接收时需要额外配置的寄存器
U0CSR |=0x40; //允许接收
IEN0 |=0x84; //开总中断允许接收中断

三、源码分析

/****************************************************************************
* 文 件 名: main.c
* 描    述: 设置串口调试助手波特率:115200bps 8N1
*           串口调试助手给CC2530字符串控制Led灯,命令如下:
* D1#/d1#开关Led1 ; D2#/d2#开关Led1 ; A0#点亮Led1和Led2 A1#关闭Led1和Led2; 
****************************************************************************/
#include <ioCC2530.h>
#include <string.h>        //使用memset需要声明该头文件

typedef unsigned char uchar;
typedef unsigned int  uint;

#define UART0_RX    1      //定义数字表示不同的状态,方便后续if判断
#define UART0_TX    2
#define CONTROL_LED 3
#define SIZE        4
#define ON          0      //LED低电平点亮
#define OFF         1
#define LED1        P1_0   //定义P1.0口为LED1控制端
#define LED2        P1_1   //定义P1.1口为LED2控制端

char RxBuf;                //USART 0 接收/发送数据缓存
char UartState;            //用来表示串口的状态
uchar count;               //发送的字符数,方便后续溢出判断
char RxData[SIZE];         //存储发送字符串

void main(void)            //程序入口函数
{	
    CLKCONCMD &= ~0x40;                        //设置系统时钟源为32MHZ晶振
    while(CLKCONSTA & 0x40);                   //等待晶振稳定为32M
    CLKCONCMD &= ~0x47;                        //设置系统主时钟频率为32MHZ   
    
    InitLed();                                 //设置LED灯相应的IO口
    InitUart();                                //串口初始化函数   
    UartState = UART0_RX;                      //串口0默认处于接收模式
    memset(RxData, 0, SIZE);
    
    while(1)
    {
        if(UartState == UART0_RX)              //接收状态 
        { 
            if(RxBuf != 0) 
            {                 
                if((RxBuf != '#')&&(count < 3))//以'#'为结束符          
                    RxData[count++] = RxBuf; 
                else
                {
                    if(count >= 3)             //判断数据合法性,防止溢出
                    {
                        count = 0;              //计数清0
                        memset(RxData, 0, SIZE);//清空接收缓冲区
                    }
                    else
                        UartState = CONTROL_LED;//进入发送状态 
                }
                RxBuf  = 0;
            }
        }
        
        if(UartState == CONTROL_LED)            //控制LED灯 
        {
            //判断接收的数据合法性
            if((RxData[0]=='d'||RxData[0]=='D')&&(RxData[1]=='1'||RxData[1]=='2'))
            {
                if(RxData[1]=='1')
                    LED1 = ~LED1;               //低电平点亮
                else
                    LED2 = ~LED2;
            }
            else if((RxData[0]=='a'||RxData[0]=='A')&&(RxData[1]=='0'||RxData[1]=='1'))
            {
                if(RxData[1]=='0')
                {
                    LED1 = ON;
                    LED2 = ON;
                }
                else
                {
                    LED1 = OFF;
                    LED2 = OFF;
                }
            }
            
            UartState = UART0_RX;
            count = 0;               
            memset(RxData, 0, SIZE);           //清空接收缓冲区
        }
    }
}

void DelayMS(uint msec)          //延时函数
{ 
    uint i,j;
    for (i=0; i<msec; i++)
        for (j=0; j<1070; j++);
}
void InitLed(void)               //设置LED灯相应的IO口
{
    P1DIR |= 0x03;               //P1.0和P1.1定义为输出口
}
void InitUart(void)          //串口初始化函数
{ 
    PERCFG = 0x00;           //外设控制寄存器 USART 0的IO位置:0为P0口位置1 
    P0SEL = 0x0c;            //P0_2,P0_3用作串口(外设功能)
    P2DIR &= ~0xC0;          //P0优先作为UART0
    
    U0CSR |= 0x80;           //设置为UART方式
    U0GCR |= 11;				       
    U0BAUD |= 216;           //波特率设为115200
    UTX0IF = 0;              //UART0 TX中断标志初始置位0
    U0CSR |= 0x40;           //允许接收 
    IEN0 |= 0x84;            //开总中断允许接收中断  
}

//串口发送函数 入口参数: Data:发送缓冲区 len:发送长度 出口参数: 无
void UartSendString(char *Data, int len)
{
    uint i;
    
    for(i=0; i<len; i++)
    {
        U0DBUF = *Data++;
        while(UTX0IF == 0);
        UTX0IF = 0;
    }
}

//UART0_ISR(void) 串口中断处理函数 
//当串口0产生接收(中断),将收到的数据保存在RxBuf中
#pragma vector = URX0_VECTOR 
__interrupt void UART0_ISR(void) 
{ 
    URX0IF = 0;       // 清中断标志 
    RxBuf = U0DBUF;                           
}

 发送命令要以字符串发送,不能用HEX发送,命令如下:

D1#/d1#开关Led1;D2#/d2#开关Led1;A0#点亮Led1和Led2;A1#关闭Led1和Led2

 


 

  • 8
    点赞
  • 96
    收藏
    觉得还不错? 一键收藏
  • 10
    评论
要通过串口控制 LED 灯,您需要使用 CC2530 芯片来实现串口通信和 LED 控制。以下是基本的步骤: 1. 确保您已经连接了 CC2530 芯片和 LED 灯。 2. 创建一个新的工程,包括 CC2530 芯片和串口通信库。 3. 编写代码,实现串口通信和 LED 控制。您可以使用串口库来读取和发送数据。然后,根据收到的数据,您可以控制 LED 灯的状态。 4. 编译并上传代码到 CC2530 芯片上。 这里有一些示例代码,可以帮助您开始: ```c #include <ioCC2530.h> // 定义串口的波特率 #define BAUD_9600 0x0028 // 初始化串口 void uart_init() { PERCFG &= ~0x01; // 设置UART0在P0上 P0SEL |= 0x0C; // P0.2和P0.3设为外设 U0CSR |= 0x80; // UART模式 U0GCR |= 11; // 9600波特率 U0BAUD = BAUD_9600; U0CSR |= 0x40; // 允许接收 } // 发送一个字符到串口 void uart_send_char(char c) { while (!(U0CSR & 0x20)); // 等待发送缓冲区为空 U0DBUF = c; } // 发送一个字符串到串口 void uart_send_string(char* str) { while (*str != '\0') { uart_send_char(*str); str++; } } // 控制LED灯 void control_led(int on) { if (on) { P1_0 = 1; } else { P1_0 = 0; } } void main() { uart_init(); while (1) { if (U0CSR & 0x01) { // 如果收到数据 char c = U0DBUF; if (c == '1') { // 打开LED灯 control_led(1); uart_send_string("LED on!\r\n"); } else if (c == '0') { // 关闭LED灯 control_led(0); uart_send_string("LED off!\r\n"); } } } } ``` 此代码将等待来自串口的数据。如果收到字符“1”,则打开 LED 灯,如果收到字符“0”,则关闭 LED 灯。它还会向串口发送消息以确认 LED 的状态。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 10
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值