9.6 16道题

1.3 4:1 mux ==》8 input and

2.divide and conquer 

  • 3. Test bench architecture blocks.(asked to write a generalized code to implement gen and bfm)

4.Implement Linked list in hardware 

1.Address store in fifo

2.Data store in SRAM mem array

5. You should start by verifying each module in your DUT, starting at the bottom-most level, and work your way up to the top. You should maximize code coverage by introducing either exhaustive or random stimuli.

6. constarint

7. How would you verify a write-back 4-way set associative cache using assembly language programming.

8. Write SV assertion for a req/ack protocol

bit req, ack, clk; 

sequence s_req req;

 endsequence 

sequence s_ack ack;

 endsequence 

property ack_after_req;

 @(posedge clk) s_req |->

 ##[1:2] s_ack; //change the [1:2] accordingly 

endproperty

 assert property (ack_after_req) 

$display("ack is within [1:2] after reset");

int rand();

9.review cmos!

1.SRAM

2.power/leakage/delay

10.review perl

done

11.review async fifo

done

12.leetcode第一道

383

13.leetcode 第二道

414 

刷easy

14.leetcode 第三道

434

1.触及seg

2.进入循环

3.flag 拉起

4.指针猛加

5.check flag

6.cnt+1

7.指针猛加

15.leetcode 第四道

482

s=s.replace(‘-’, ’’)

这个解法妙

16.leetcode 第五道

485 done, 目前观测,for和while,没有区别,如果需要index,range(len)是好的

====

https://www.glassdoor.com/Interview/california-design-verification-interview-questions-SRCH_IL.0,10_IS2280_KO11,30_IP10.htm

以上是glassdoor的dv ca问题

====

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值