自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(51)
  • 收藏
  • 关注

原创 Linux和树莓派基础交互

作业

2022-06-22 12:06:38 247 1

原创 树莓派无需显示屏的VNC Viewer方式的远程连接

物联网

2022-06-22 11:45:46 357

原创 FPGA的VGA协议实现

2022-06-08 13:53:19 231

原创 Quartus-II13.1三种方式实现D触发器及时序仿真

文章目录一、在Quartus-II中自己用门电路设计一个D触发器及仿真1、创建工程2.创建方框文件3.编译原理图文件4.创建vwm格式波形文件5.仿真结果二、在Quartus-II中直接调用一个D触发器及仿真1、创建工程2.调用D触发器3.仿真结果三、在Quartus-II中用Verilog语言写一个D触发器及仿真1.编写Verilog文件2.查看生成的电路图3.仿真效果四、参考链接一、在Quartus-II中自己用门电路设计一个D触发器及仿真1、创建工程File->New Project Wi

2022-03-15 13:59:33 728

原创 第二周作业

数字电路基础与Quartus-II入门一、Quartus II的下载二、Quartus II的安装三、Quartus II的破解1.下载破解器文件2.破解器的使用一、Quartus II的下载百度网盘下载链接: https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA提取码:ifte说明: 本链接来自于正点原子官方资料下载二、Quartus II的安装双击解压后的文件:点击next:勾选“I accept the agreement”,然后点击Nex

2022-03-15 13:27:40 56

原创 搭建并配置Keil

搭建并配置Keil嵌入式开发环境一、环境的配置MDK软件的安装MDK(Microcontroller Development Kit)是针对ARM处理器,特别是Cortex-M内核处理器的最佳开发工具。1.1 MDK5下载① keil官网网址下载:http://www.keil.com/download/product1.2 安装过程①下载完成后,开始安装,第一步点击安装包MDK_510②运行成功后,出现安装导向,点击“Next”③先勾选“I agree to all the term

2022-01-13 11:17:46 1566

原创 RT-thread Nano移植

RT-thread Nano移植一、添加Nano软件包二、新建工程三、代码编写一、添加Nano软件包RT-Thread Nano软件包地址:https://www.rt-thread.org/download/cube/RealThread.RT-Thread.pdsc打开CubeMX,选择Help下的Manage embedded software packages:选择From Url…:点击New,并添加上述的Nano软件包地址https://www.rt-thread.org/downlo

2021-12-31 12:49:43 3315

原创 Email-FTP-RTSP协议

Email-FTP-RTSP协议一、Email协议介绍及应用二、Email协议简单应用三、FTP协议介绍与应用1. FTP协议简介2. 应用四、VLC五、参考一、Email协议介绍及应用Email涉及SMTP、POP3、IMAP协议。SMTP协议SMTP是一种提供可靠且有效的电子邮件传输的协议。SMTP是建立在FTP文件传输服务上的一种邮件服务,主要用于系统之间的邮件信息传递,并提供有关来信的通知。SMTP独立于特定的传输子系统,且只需要可靠有序的数据流信道支持,SMTP的重要特性之一是其能跨越

2021-12-30 22:09:28 512

原创 STM32+W5500网络通信

STM32+W5500网络通信一.调试方法二.学习STM32+W5500+modbus协议编程三.学习STM32+W5500的web服务四.总结五.参考一.调试方法调试工具使用该压缩包里面的TCPUDPDebug102_Setup,自行安装.STM32与W5500接线方法PA15->W5500_RST(源程序使用的是PC5,这里没有该引脚修改为PA15)PC4->W5500_INT(使用寄存器查询方式的例程时,此引脚可以不接,其他例程可能涉及修改引脚)PA4->W5500_SC

2021-12-25 19:07:40 730

原创 CLion与Altium Designer

CLion与Altium Designer一、下载安装Altium Designer二、绘制STM32最小系统电路原理图三、CLion下载与安装1.CLion下载与安装2.安装配置gcc3.安装配置OpenOCD4.配置CLion四、CLion中使用CubeMX五、使用CLion进行点灯1.配置项目六、总结七、参考一、下载安装Altium Designer自行百度下载Altium Designer:二、绘制STM32最小系统电路原理图新建工程:绘制原理图:STM32F103原理图:三、C

2021-12-25 18:47:44 451

原创 人脸表情识别

人脸表情识别一、图片预处理二、数据集划分三、识别笑脸1.创建模型2.归一化处理3.数据增强4.创建网络5.单张图片测试6.摄像头测试四、基于Dlib的笑脸识别五、参考一、图片预处理数据在作业中获得:预处理代码:import dlib # 人脸识别的库dlibimport numpy as np # 数据处理的库numpyimport cv2 # 图像处理的库OpenCvimport os # dlib预测器detector = dlib.get_fr

2021-12-14 22:12:04 5643 2

原创 数字图像与机器视觉基础补充(2)

数字图像与机器视觉基础补充一、OpenCV部分操作1. 图片转灰度2. 转HSV3. 转HSI二、分割车牌三、总结四、参考一、OpenCV部分操作1. 图片转灰度不使用OpenCV:from PIL import ImageI = Image.open('Lena.jpg')I.show()L = I.convert('L')L.show()效果:使用OpenCV:import cv2 as cv # 路径为英文image = cv.imread('Lena.jpg')

2021-12-14 18:32:46 4907

原创 Springboot实现Web聊天室

Springboot实现Web聊天室一、项目创建二、代码编写三、测试四、参考一、项目创建新建Spring项目,选择JDK版本:选择Spring Web:二、代码编写导入.jar包:WebchatApplication:package com.example.demo;import org.springframework.boot.SpringApplication;import org.springframework.boot.autoconfigure.SpringBootApp

2021-12-10 19:14:07 409

原创 IO、NIO、Netty

IO、NIO、Netty一、IO1. IO特点2. 代码实现二、NIO1. NIO特点2. 代码实现三、Netty1. 特点2. HelloWorld四、总结五、参考一、IO1. IO特点1.服务端阻塞点server.accept();获取套接字的时候 inputStream.read(bytes);输入流读取数据的时候2.传统socket是短连接,可以做短连接服务器,他无法做长连接,属于一问一答的模式,比如老的tomcat底层用的就是socket,用完就会关掉线程,因此不会出现线程一直被占用的

2021-12-10 18:35:17 964

原创 Selenium爬取网页

基于Selenium爬取动态网页一、打开百度并进行搜索二、爬取名人名言1. 爬取一页2. 爬取5页3. 数据储存4. 总代码三、爬取京东书籍信息四、总结一、打开百度并进行搜索打开百度:from selenium.webdriver import Chromeweb = Chrome()web.get('https://www.baidu.com')找到开发者选项:输入要查询的值并回车:input_btn = web.find_element_by_id('kw')input_btn.

2021-12-10 18:21:03 1869

原创 数字图像与机器视觉基础

数字图像与机器视觉基础一.比较不同位深度BMP文件一.比较不同位深度BMP文件从网页上下载一张彩色图片,查看该图片信息,显示位深度24:以该图片为例,将其用画图工具转换为256色、16色、单色的位图(BMP)文件,并比较大小:将该图片转换为.jpg、.png、.bmp、.gif,并比较大小:使用UltraEdit打开图片,查看文件头信息:huoying.jpg:...

2021-12-04 00:58:39 2347

原创 逻辑仪观察引脚电平变化

逻辑仪观察引脚电平变化一.使用Keil仿真逻辑仪观察引脚电平变化二.使用SaleaeLogic16进行协议分析一.使用Keil仿真逻辑仪观察引脚电平变化点击以下图标:打开逻辑分析仪:添加引脚和串口:Display Type均设置为Bit点击图标,开始运行:观察到PC13引脚电平变化周期为2s,PA3引脚电平变化周期为6s,串口USART1周期为2s。同时查看项目代码PC13:PA3:USART1:二.使用SaleaeLogic16进行协议分析功能特点:1.Sale

2021-12-03 14:34:40 3441

原创 STM32之时钟RTC

STM32之RTC时钟一、RTC介绍1.RTC实时时钟特征与原理2. RTC组成3.具体流程4. 时钟选择5. 复位过程6. 中断二、STM32CUBEMX建立项目三、代码编写四、总结五、参考一、RTC介绍1.RTC实时时钟特征与原理RTC (Real Time Clock):实时时钟  实时时钟是一个独立的定时器。RTC模块拥有一组连续计数的计数器,在相应软件配置下,可提供时钟日历的功能。修改计数器的值可以重新设置系统当前的时间和日期。  RTC模块和时钟配置系统(RCC_BDCR寄存器)处于后

2021-12-02 11:10:30 282

原创 STM32F103C8T6移植uC/OS-III基于HAL库

STM32F103C8T6移植uC/OS-III基于HAL库一、获取uC/OS-III源码1. 到官网下载二、建立stm32 hal库工程三、复制uC/OS-III文件到工程文件夹四、添加工程组件和头文件路径1. 添加工程分组2. 添加头文件路径五、修改文件内容1. 启动文件2. app_cfg.h3. includes.h4. bsp.c和bsp.h5. app.c和app.h6. main.c7. lib_cfg.h8.app.c六、运行效果七、参考一、获取uC/OS-III源码1. 到官网下载进

2021-12-02 10:47:53 452

原创 OLED接口数据显示

OLED接口数据显示一、简介1.SPI简介2.SPI、I2C、UART三种串行总线协议的区别二、OLED数据显示实验1.显示温度2.显示学号姓名3.长字符串滑动三、总结四、参考一、简介1.SPI简介SPI 规定了两个 SPI 设备之间通信必须由主设备 (Master) 来控制次设备 (Slave). 一个 Master 设备可以通过提供 Clock 以及对 Slave 设备进行片选 (Slave Select) 来控制多个 Slave 设备, SPI 协议还规定 Slave 设备的 Clock 由

2021-11-26 20:24:09 658

原创 基于I2C协议下利用AHT20的温度采集

基于I2C协议下利用AHT20的温度采集1.简介(1)AHT20简介(2)I2C简介二、I2C软件与硬件的区别三、实验进行温度采集(1)实验要求(2)实验代码实现(3)运行效果四、总结1.简介(1)AHT20简介AHT20,新一代温湿度传感器在尺寸与智能方面建立了新的标准:它嵌入了适于回流焊的双列扁平无引脚SMD 封装,底面 3 x 3mm ,高度1.0mm。传感器输出经过标定的数字信号,AHT20,新一代温湿度传感器在尺寸与智能方面建立了新的标准:它嵌入了适于回流焊的双列扁平无引脚SMD 封装,底面

2021-11-26 20:00:51 157

原创 编写网游客户端

编写网游客户端一、目的二、项目完成1. 新建项目2.界面3.客户端发送数据4.播放背景音乐5.实现游戏背景图片变换三、总结四、参考一、目的编写一个网游客户端,游戏服务器的校园内网IP地址为 10.1.230.41,端口为3900,采用TCP连接。1.连接成功后,可以将服务器发来的消息不停地显示在 listbox 中;2.客户端要发给服务器的数据,通过 textbox输入 或者点击button;3.能够播放背景音乐;4.每隔30秒,变换一次游戏背景图片;二、项目完成1. 新建项目项目初步设置

2021-11-24 18:40:18 3268

原创 【无标题】

端口扫描器端口扫描器端口扫描器一、项目创建一、项目创建1.创建新项目创建窗体应用项目(前面讲解过,这里就不做说明),如下所示:2. 界面设计

2021-11-23 22:32:35 52

原创 【无标题】

使用TCP/UDP协议通信并用Wireshark抓包分析数据使用TCP/UDP协议通信并用Wireshark抓包分析数据使用TCP/UDP协议通信并用Wireshark抓包分析数据一.Socket、TCP、UDP1. Socket2. TCP3. UDP二、UDP套接字发送信息1. 显示信息2. 实现UDP套接字发送信息3. Wireshark抓包与分析三、TCP使用窗口程序发送信息1.设计界面2.编写代码3. 服务端编写4.抓包![在这里插入图片描述](https://img-blog.csdnimg.

2021-11-23 15:03:21 1752

原创 【无标题】

wireshark之疯狂聊天wireshark之疯狂聊天wireshark之疯狂聊天一、实验任务二、实验步骤一、实验任务在两台及两台以上的电脑(已知IPv4地址)上运行 “疯狂聊天室”程序,通过wireshark抓包。二、实验步骤两台电脑连接同一个热点,并在聊天程序中输入同一个房间号:测试是否连通:连通成功后使用wireshark进行抓包:-在wireshark中输入筛选ip.dst==255.255.255.255进行过滤:测试分析,发送一串数字和中英文:在Wireshark中找到发送

2021-11-20 15:09:23 1400

原创 【无标题】

LibSVM练习一、下载LibSVM二、构建数据集三、总结一、下载LibSVM在[https://www.csie.ntu.edu.tw/cjlin/libsvm/]下载(https://www.csie.ntu.edu.tw/cjlin/libsvm/)下载解压后,将该文件复制到对应的python环境下的 …/Lib/site-packages/ 目录下。然后,在目录libsvm和目录libsvm/python/下,新建一个空文件,命名为 init.py:二、构建数据集from libsv

2021-11-17 17:03:37 182

原创 【无标题】

人脸识别数据集的建立一、存储人脸特征图像二、采集对于特征点数组三、人脸识别一、存储人脸特征图像存储20张人脸特征图像:import cv2import dlibimport osimport sysimport random# 存储位置output_dir = './person/person1'size = 64 if not os.path.exists(output_dir): os.makedirs(output_dir)# 改变图片的亮度与对比度 def re

2021-11-17 15:16:38 66

原创 2021-11-16

爬取OJ题目和重交信息通知一、爬取南阳理工OJ题目1.初步分析2.代码编写二、爬取学校信息通知2.每页内容爬取三、总结一、爬取南阳理工OJ题目1.初步分析爬取网站:http://www.51mxd.cn/爬取信息:我们需要爬取其题号,难度,标题,通过率,通过数/总提交数:右击查看网页源代码:在其中Ctrl + F 搜索该页的某一个题目(此处以红黑树为例):能够搜索到,说明此数据不是动态加载,可直接get该页面以获取!!按F12 打开开发者工具,在Element中点击箭头工具(如下图②

2021-11-16 13:21:42 624

原创 2021-11-12

人脸特征提取一、安装dlib及OPENCV1. dlib安装2. 安装opencv二、绘制人脸的68个特征点一、安装dlib及OPENCV1. dlib安装Dlib是一个包含机器学习算法的C++开源工具包。 Dlib可以帮助您创建很多复杂的机器学习方面的软件来帮助解决实际问题。 目前Dlib已经被广泛的用在行业和学术领域,包括机器人,嵌入式设备,移动电话和大型高性能计算环境。本电脑使用的python版本为3.8,因此直接使用cmd安装:pip install dlib-19.19.0-cp38-c

2021-11-12 14:49:39 53

原创 2021-11-08

串口间的文件传输一、实验概述将两台笔记本电脑,借助 usb转rs232 模块和杜邦线,建立起串口连接。然后用串口助手等工具软件(带文件传输功能)将一台笔记本上的一个大文件(图片、视频和压缩包软件)传输到另外一台电脑。二、实验详情选择相同波特率:选择文件:传输方设置:接收方设置:发送中:接收放保存文件,将得到的.dat文件修改为.png后,得到了正确的文件::传输时间大约为16秒:三、总结对于使用串口传输文件,加大波特率可以减小传送时间。...

2021-11-08 20:08:02 45

原创 2021-11-08

=点阵汉字的字模读取与显示一、汉字点阵字库原理1 汉字编码1.1 区位码1.2 机内码2. 点阵字库结构2.1 点阵字库存储2.2 16*16 点阵字库2.3 14*14 与 12*12 点阵字库3. 汉字点阵获取3.1 利用区位码获取汉字3.2 利用汉字机内码获取汉字‘二.Ubuntu+OpenCV+C++显示图片一、汉字点阵字库原理1 汉字编码1.1 区位码在国标 GD2312—80 中规定,所有的国标汉字及符号分配在一个 94 行、94 列的方 阵中,方阵的每一行称为一个“区”,编号为 01

2021-11-08 19:05:53 329

原创 2021-11-05

线性判别准则和线性分类算法这里写目录标题线性判别准则和线性分类算法一、什么是LDA二、LDA的代码实现三、SVM四、月亮数据集1. 使用线性核2. 多项式核3. 高斯核五、SVM的代码实现六、总结一、什么是LDALDA是一种监督学习的降维技术,也就是说它的数据集的每个样本是有类别输出的。这点和PCA不同。PCA是不考虑样本类别输出的无监督降维技术。LDA的思想可以用一句话概括,就是“投影后类内方差最小,类间方差最大”。什么意思呢? 我们要将数据在低维度上进行投影,投影后希望每一种类别数据的投影点尽可能

2021-11-05 12:33:18 572

原创 2021-11-03

stm32中断与DMA通信编程这里写目录标题stm32中断与DMA通信编程一、创建项目二、编写代码三、运行四、总结DMA通信编程一、创建项目创建一个STM32f103c8的STM32CubeMX项目:SYS设置:RCC设置:时钟树设置,输入72后回车:USART1设置:将Mode设置为Asynchronous:使能串口中断:设置Project Manager后,生成代码:二、编写代码打开main.c文件在文件中定义缓冲区大小:#define LENGTH 10

2021-11-03 17:13:58 62

原创 2021-11-03

部分大部分的发德邦到付饭都不吃方便的地方

2021-11-03 17:13:15 329

原创 2021-11-03

网络抓包与HTTP协议网络抓包与HTTP协议网络抓包与HTTP协议一、TCP协议1. 特点2.三次握手和四次挥手二、HTTP协议1.特点2.工作原理三、Wireshark抓包1. Wireshark简介2.Wireshark工作流程3.抓包验证四、总结一、TCP协议传输控制协议(TCP,Transmission Control Protocol)是一种面向连接的、可靠的、基于字节流的传输层通信协议,由IETF的RFC 793 定义。TCP旨在适应支持多网络应用的分层协议层次结构。 连接到不同但互连的

2021-11-03 15:11:07 60

原创 2021-10-28

决策树之挑选好西瓜一、决策树决策树(Decision Tree)是在已知各种情况发生概率的基础上,通过构成决策树来求取净现值的期望值大于等于零的概率,评价项目风险,判断其可行性的决策分析方法,是直观运用概率分析的一种图解法。由于这种决策分支画成图形很像一棵树的枝干,故称决策树。在机器学习中,决策树是一个预测模型,他代表的是对象属性与对象值之间的一种映射关系。Entropy = 系统的凌乱程度,使用算法ID3, C4.5和C5.0生成树算法使用熵。这一度量是基于信息学理论中熵的概念。决策树是一种树形结构

2021-10-28 16:14:17 341

原创 2021-10-27

多元线性回归之预测房价一、多元线性回归在回归分析中,如果有两个或两个以上的自变量,就称为多元回归。事实上,一种现象常常是与多个因素相联系的,由多个自变量的最优组合共同来预测或估计因变量,比只用一个自变量进行预测或估计更有效,更符合实际。因此多元线性回归比一元线性回归的实用意义更大。问题概述:市场房价的走向受到多种因素的影响,通过对影响市场房价的多种因素进行分析,有助于对未来房价的走势进行较为准确的评估。多元线性回归适用于对受到多因素影响的数据进行分析的场景。由多个自变量的最优组合共同来预测或估计因

2021-10-27 15:32:52 686

原创 2021-10-25

STM32之串口通信一、串口通信与USART1. 串口通信串口通信是一种设备间非常常用的串行通行方式,其简单便捷,大部分电子设备都支持。RS-232标准: 主要规定了信号的用途、通信接口以及信号的电平标准。2. USARTUSART 满足外部设备对工业标准 NRZ 异步串行数据格式的要求,并且使用了小数波特率发生器,可以提供多种波特率,使得它的应用更加广泛。USART 支持同步单向通信和半双工单线通信;还支持局域互连网络 LIN、智能卡(SmartCard)协议与 lrDA(红外线数据协会) S

2021-10-25 15:48:10 71

原创 2021-10-20

从JDBC到MyBatisMyBatis介绍MyBatis 是一款优秀的持久层框架,它支持定制化 SQL、存储过程以及高级映射。MyBatis 避免了几乎所有的 JDBC 代码和手动设置参数以及获取结果集。MyBatis 可以使用简单的 XML 或注解来配置和映射原生信息,将接口和 Java 的 POJOs(Plain Ordinary Java Object,普通的 Java对象)映射成数据库中的记录。MyBatis的优点与JDBC相比,减少了50%以上的代码量,消除了JDBC大量冗余的代码,不

2021-10-20 12:18:01 114

原创 2021-10-18

STM32F103寄存器方式点亮LED流水灯一、初识STM321.STM32,从字面上来理解,ST 是意法半导体,M 是 Microelectronics 的缩写,32 表示32 位,合起来理解,STM32 就是指 ST 公司开发的 32 位微控制器。在如今的 32 位控制器当中,STM32 可以说是最璀璨的新星,它受宠若娇,大受工程师和市场的青睐,无芯能出其右。2.STM32 属于一个微控制器,自带了各种常用通信接口,比如 USART、I2C、SPI 等,可接非常多的传感器,可以控制很多的设备。现实

2021-10-18 20:46:28 2388

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除