自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(5)
  • 收藏
  • 关注

原创 hdmi_gray 图像灰度化学习笔记

此程序在HDMI图像显示基础上增加了图像处理模块和RAM模块存储处理之后的图片再通过HDMI显示。在top文件中的思路:先在ROM中缓存一张图片,将读出的数据送入img_processor中进行处理,并将处理后的数据存入RAM中,最后送入hdmi显示模块。

2023-11-30 14:52:13 458

原创 Vivado Block Memory Generator(ROM/RAM)ROM使用

存储图片,一个像素是24bit(RGB888格式)

2023-11-29 10:29:01 439 1

原创 modelsim仿真vivado IP核(PLL为例)

运行run_simulation.bat文件。在vivado中创建IP核。

2023-09-01 16:15:01 709

原创 Modelsim配置与库编译(IP核仿真)

查找 modelsim_lib = $MODEL_TECH/../modelsim_lib,将之前复制的路径粘贴到该语句下面。打开vivado,点击菜单Tools->Compile Simulation Libraries。在Modelsim安装路径下新建一个Vivado_Library文件夹,用于存放编译的库文件。Vivado_Library中已经复制了库文件。Vivado_Library路径中的。Modelsim安装路径中的。

2023-08-31 20:36:13 672

原创 Matlab toolbox安装问题记录

安装Matlab 时频分析工具箱时遇到的问题

2022-10-19 16:20:59 2348 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除