正点原子新起点v1 学习笔记-按键控制led

本文是关于正点原子平台FPGA开发的学习笔记,主要讲解如何通过按键控制LED显示不同效果,包括从左到右、从右到左的流水灯以及闪烁和全亮模式。通过计数器和状态机实现定时与状态切换,强调了多位变量使用、编程框架、输入输出定义等关键点,并列举了常见错误及其理解。
摘要由CSDN通过智能技术生成

module key_led(
      input               clk,
        input               rst_n,
        
        input      [3:0]    key,
        output reg [3:0]    led
    
);

//reg define
reg [23:0]cnt;   //0.2s/2ns二进制表示需要24位数
reg [1:0]led_ctrl;//四个状态需要两位数

//0.2s计数器模块
always@(posedge clk or negedge rst_n)begin 
   if(!rst_n)
    cnt <= 24'd0;//复位信号生效清零
    else
       if(cnt<24'd1000_0000)//没有到达十进制10000000,计数器继续增加
           cnt <= cnt + 1'b1;
        else
          cnt <= 24'd0;//否则清零            
end

//0.2s改变状态计数器
always@(posedge clk or negedge rst_n)begin 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值