数据选择器的仿真步骤

(1) 在Windows资源管理器中,新建一个子目录。
(2) 打开一个文本编辑器【本文使用记事本,需注意文本打开方式,否则Modelsim中无法编译,如图一所示】,输入设计块和激励块源代码,并将它们保存在新建的子目录中,文件名分别为mux2to1_df.v和test_mux2to1_df.v如图二和图三所示:
图一文本打开方式

  •                                                                                     图一:文本打开方式
                                * ![在这里插入图片描述](https://img-blog.csdnimg.cn/7a555ed779b44cbf9e28f761a176e9ba.jpeg)
                                *                                                        图二:设计块代码
                                ![在这里插入图片描述](https://img-blog.csdnimg.cn/d834334a9d284101907e33fa2dc74e65.jpeg)
                                                                                                图三:激励块代码
                                      ❤注意注意注意(重要的事情说三遍,第一行代码中的“`”是英文状态下电脑左上角Esc下面的一瞥)
    

(3) 打开Modelsim软件,创建一个新的工程项目(Test_mux2to1.mpf),添加已经保在的源文件(mux2to1_df.v和test_mux2to1_df.v)。
(4) 在Project子窗口中,编译所有的源文件。如果编译成功,则代码文件Status栏显示为绿色的“✓”。如果编译出错,则会给出相关错误信息,修改代码,然后再重新编译,直到没有错误编译。
(5) 将设计载人仿真器,开始仿真。编译成功之后,仿真器通过调用 Verilog HDL 的顶层模块将设计载人到仿真器中,弹出与仿真相关的子窗口,且设置仿真时间从0时刻开始。
(6) 将需要观察的信号添加到 Wave 子窗口中。
(7) 执行仿真命令,得到如图四所示的输人、输出波形;同时,还得到图五以文本方式显示的仿真结果。可见,在0~20 ns 期间,由于 PS =0,所以输出 PY 与输人PD0相同;在20~40 ns 期间, PS =1,故输出 PY 与输人PD1相同。表明该设计块描述的逻辑功能是正确的。
(8) 结束仿真,退出 ModelSim 仿真器。
在这里插入图片描述
图四:仿真输出波形
在这里插入图片描述
图五:以文本方式显示的仿真结果

【仅供参考,不会的地方建议去B站学习学习,因为本人也在学习呢,怕误人子弟哈哈哈】

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

负责开心吖

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值