自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(7)
  • 收藏
  • 关注

原创 2021年数字电路期末考试实验

本次实验要求:此次实验总共三个第一个实验按照学号后所对应的页数题号进行实验。第二个实验分为男女生实验 根据名字后面的书籍题号进行实验。第三个实验按照名字后面的题目页数进行实验。一、实验目的:学会并熟悉熟练掌握Verilog的使运用,熟练的使用modelsim软件,对老师期末布置的三个实验进行仿真。二、实验内容:1.数字逻辑基础与Verilog设计P160 图5.572.Verilog HDL(第二版)数字电路设计及仿真 十二章选题三3.Verilog HDL(第二版)数字电路设计及仿

2021-06-22 00:47:07 759

原创 2021-06-18

实验步骤1.实验目的:学习独热码状态机、SR锁存器延迟模型和移位除法器模型2.实验工具:电脑和 modelsim软件。3.实验过程:第一步:打开modelsim软件。第二步:点击“file-new-project”,建立项目名称为“work”,点击“OK”后,建立一个新的文件为实验的名称,选择“Verilog HDL”,然后关闭。第三步:将项目编辑代码 。第四步:保存。第五步:进行测试第六步:进行调试。4.实验代码及结果截图第一题module ex8_1(clock,reset,

2021-06-18 14:32:31 194

原创 2021-06-18

实验步骤1.实验目的:Verilog HDL测试模块和时序逻辑的测试模块2.实验工具:电脑和 modelsim软件。3.实验过程:第一步:打开modelsim软件。第二步:点击“file-new-project”,建立项目名称为“work”,点击“OK”后,建立一个新的文件为实验的名称,选择“Verilog HDL”,然后关闭。第三步:将项目编辑代码 。第四步:保存。第五步:进行测试第六步:进行调试。4.实验代码及结果截图第一题module decoder3x8(din,en,d

2021-06-18 14:19:08 67

原创 2021-05-28

实验步骤1.实验目的:Modelsim工程仿真流程和主从D触发器的门级建模2.实验工具:电脑和Quartur ii软件和modelsim软件。3.实验过程:第一步:打开Quartyr ii。第二步:新建“Hew Project Wizard”。第三步:将代码输入到“Venliog HDL Flie”。第四步:保存。第五步:编译。第六步:生成测试文件。第七步:进行仿真。第八步:进行调试。4.实验代码及结果截图第一题modulefulladd(sum,c_out,a,b,c_i

2021-05-28 12:57:54 79

原创 数字电路

实验步骤1.实验目的:学习移位寄存器2.实验工具:电脑和Quartur ii软件和modelsim软件。3.实验过程:第一步:打开Quartyr ii。第二步:新建“Hew Project Wizard”。第三步:将代码输入到“Venliog HDL Flie”。第四步:保存。第五步:编译。第六步:生成测试文件。第七步:进行仿真。第八步:进行调试。4.实验代码及结果截图①module reg4(D, Clock, Resetn, Q);input [3:0] D;input

2021-05-22 14:29:26 186

原创 数字电路

实验步骤1.实验目的:学习2-4译码器的门级建模和Modelsim基本仿真流程2.实验工具:电脑和Quartur ii软件和modlsim软件。3.实验过程:第一步:打开Quartyr ii。第二步:新建“Hew Project Wizard”。第三步:将代码输入到“Venliog HDL Flie”。第四步:保存。第五步:编译。第六步:生成测试文件。第七步:进行仿真。第八步:进行调试。4.实验截图5.实验代码①2-4译码器的门级建模module DEC2x4 (Z,A,

2021-05-21 18:28:54 610

原创 Quartus ii

1.实验目的:下载Quartus ii软件并进行图片仿真和代码仿真。2.实验内容:参照书上P38内容完成代码仿真。3.实验原理:利用计算机进行仿真实验,使用Verilog语法。4.实验软件:Quartus ii和计算机5.实验截图:6.实验视频:前往哩 哩观看实验视频:https://b23.tv/ttcxwi7.软件安装视频:教学视频Quartus II软件安装与入门教程https://www.bilibili.com/video/BV1Mb411q7N7?p=28.软件下载网站

2021-03-14 21:21:48 261

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除