2021年数字电路期末考试实验

本次实验要求:此次实验总共三个
第一个实验按照学号后所对应的页数题号进行实验。
在这里插入图片描述

第二个实验分为男女生实验 根据名字后面的书籍题号进行实验。
在这里插入图片描述

第三个实验按照名字后面的题目页数进行实验。
在这里插入图片描述
一、实验目的:
学习并熟练掌握Verilog运用,Modelsim和Quartus的操作,同时学习串行加法器的原理、简单组合逻辑电路设计、带内嵌定时控制的非静态循环。
二、实验工具:
Quartus和Modelsim软件,电脑
三、实验内容:
1.数字逻辑基础与Verilog设计P196 图6.49 串行加法器
2.Verilog HDL(第二版)数字电路设计及仿真实验一
3.Verilog HDL高级数字设计(第二版) P203 例6.41
四、实验原理:
1、第一个实验:串行加法器可以通过用Verilog 代码描述移位寄存器和加法器的FSM来实现。首先设计一个移位寄存器,并将它用作串行加法器的子电路。
2、第二个实验:简单组合逻辑电路的建模一般使用数据流级即可,对于已有电路图的设计也可以采用门级语句来实现,本实验用门级和数据流级两种方法来完成3-8译码器设计。
3、第三个实验:非静态循环可以实现多周期操作。因为可以将循环的动作分配到多个时钟周期中去执行,所以单独的数据依赖性并不会对综合造成障碍。但是,为了综合,非静态循环的迭代操作必须通
过一个同步的边沿敏感的事件控制表达式来分割。
五、实验过程:
第一步:打开modelsim软件。
第二步:点击“file-new-project”,建立项目名称为“work”,点击“OK”后,建立一个新的文件为实验的名称,选择“Verilog HDL”,然后关闭。
第三步:将项目编辑代码 。
第四步:保存。
第五步:进行测试
第六步:进行调试。
六、实验代码及结果截图
第一个实验
module serial_adder(A, B, Reset, Clock, Sum);
input [7:0] A, B;
input Reset, Clock;
output wire [7:0] Sum;
reg [3:0] Count;
reg s, y, Y;
wire [7:0] QA, QB;
wire Run;
parameter G= 1’b0, H =

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
实验要求以 FPGA 为硬件电路核心,设计一个 14 键单音电子琴。电子琴的每个琴键 对应一个七声音阶的简谱音符(用 C 调,可以占两个完整的八度,也可以占一个完整八度加 上前后各半个八度,具体请自行设计)。弹奏时按下任意一个琴键,电路产生对应音符的频 率信号(占空比 50%的方波)。将该方波信号接到扬声器(PIN_128)上就可以听到弹奏的乐声。 自动播放功能需要在代码中编写一个“数据表”(可以是寄存器数组,也可以用 case 语 句实现),数据表中存储着乐谱每个音符对应的分频系数。将这些分频系数以特定的时间间 隔(节拍,比如每隔 0.25 秒)输出到可控分频器,则分频器就可以产生所需音符的频率信 号。 任务 1 设计一个可控分频器,clk_in 为分频器时钟输入,Key[13:0]为琴键开关,clk_out 为分频器信号输出。在数码管上显示当前弹奏的简谱音符(高音、低音要能够区分,表示方 法请自行设计)。 仿真测试 配置好仿真参数,模拟琴键被逐个按下的状态,每个键按下的持续时间要保证至少能产 生 1 个同期的音符信号,为了便于观测,最好产生 2~3 个周期。在仿真结果中测量每个音符 的输出信号的频率(周期),并与理论值比对,误差在 1%以内为正确,否则应修改电路使工 作正常。 仿真时应当把音符的唱名(简谱符号)显示在波形图中。 实验测试 实验箱的扬声器没有连接到可供接线的 IO 端口,需要给电路增设一个输出(信号与 clk_out 相同)并锁定到空闲的 IO 端口上。将输出信号连接到实验箱的逻辑分析仪 CH0 上, 西南交通大学 电子技术实验室 观测并记录弹奏时每个音符的频率,并与理论值比对,误差在 1%以内为正确,否则应修改 电路使工作正常。 试着弹奏一首乐曲。 任务 2 在完成任务 1 的基础上,给电子琴增加自动播放功能,当电子琴切换到自动播 放模式时,能够自动循环播放一首编写在代码中的乐曲。
本书第一章为数字电路实验基础知识,主要介绍电子电路的实验要求,电路的安装、调试等技术。第二章为数字电路实验,共有 15 个实验,采用以数字实验箱、电子实验设备为工作平台进行电路实验的传统实验方法。第三章为计算机辅助实验,共有 6个实验,主要以 CPU为工作平台,通过电子电路仿真软件进行电路的仿真实验。 本书所列实验共 21 个。其中基本实验有 4 个: “实验仪器的使用及门电路逻辑功能的测试”、“OC门与TS门”、“波形的产生及单稳态触发器”、“数字电路逻辑功能的测试” 。前3 个为传统实验方法,最后一个为计算机辅助实验。设计性实验有 9 个: “ TTL 与非门应 用” 、“组合电路的设计” 、 “编码器” 、“数据选择器” 、“RS触发器与D 触发器” 、“JK触发器”、“移位寄存型计数器”、 “加法器”、“CMOS门电路在波形产生与整形中的应用”,前7 个为传统实验方法,后 2个为计算机辅助实验。综合性实验有 8 个:“译码器及数码显示”、“集成计数器及应用” 、“时序电路的设” 、“555 定时器及其应用” 、“数字秒表” 、“计数器及译码显示”、“智力抢答器”、“家用电扇控制器”,前 5 个为传统实验方法,后 3 个为计算机辅助实验。 书末附录 A、B、C 分别介绍了数字实验箱、示波器、Multisim 2001 电路仿真软件的使用简介,附录 D为实验用集成电路管脚排列图,以供查阅。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值