自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(24)
  • 收藏
  • 关注

原创 2021-07-07

****安顺学院 ANSHUN UNIVERSITY 本 科 毕 业 论 文 (2017~2021年)题 目: 数学逻辑基础数字闹钟****院 别: 数计学院专业班级: 20计本二级学生姓名: 范磊磊;王亚林号:2020...

2021-07-07 10:12:49 1079

原创 2021-07-01

1;实验目的;利用Quartus和Modus进行联合仿真2;实验代码module mux4(input[3:0] d0,d1,d2,d3,//4个4位的输入input[1:0] select,//2位输入,表示选择的输入output reg [3:0]out//4位输出,和选择的输入一致);always @(*) //敏感信号列表,当d0,d1,d2,d3,select任意一个改变时执行begincase(select) 0: out=d0; 1: out=d1; 2: out=

2021-07-01 14:33:30 119

原创 2021-07-01

1;实验目的;利用Quertus和Meedus进行联合仿真2;实验代码`timescale 10ns/1nsmodule decode3_8 (data_out,data_in,enable) ;input [2:0] data_in;input enable;output [7:0] data_out;reg [7:0] data_out;always @(data_in or enable)beginif (enable==1)case (data_in )3’b000: dat

2021-07-01 13:57:19 85

原创 2021-07-01

1实验目的;利用Quartus和Modus进行联合仿真2;实验代码module dj(a,b,c,d,clk,res,led1,led2,led3,led4);input a,b,c,d;input clk,res;output led1,led2,led3,led4;reg led1,led2,led3,led4;reg k;always @(clk)beginif(res0)beginled1<=0;led2<=0;led3<=0;led4<=0;

2021-07-01 13:52:05 68

原创 2021-06-28

1;实验目的。利用Quartus和Modus进行联合仿真‘2;实验代码;module group(Digits, Lights);input [11:0]Digits;output [1:21]Lights;seg7 digit0(Digits[3:0],Lights[1:7]);seg7 digit1(Digits[7:4],Lights[8:14]);seg7 digit2(Digits[11:8],Lights[15:21]);endmodulemodule seg7(bcd,led

2021-06-28 20:13:02 121

原创 2021-06-26

1;实验目的;利用Quertus和Modus进行联合仿真2;实验代码;module my_rs(reset,set,q,qbar);input reset,set;output q,qbar;nor #(1) n1(q,reset,qbar);nor #(1) n2(qbar,set,q);endmodulemodule tb_71;reg set,reset;wire q,qbar;initialbeginset<=0;reset<=1;#10 set<=0;

2021-06-26 13:04:31 59

原创 2021-06-26

1;利用Quertus和Modus进行联合仿真2‘;实验代码;module ex8_1(clock,reset,x,y1,y2) ;input clock,reset;input x;output y1,y2;reg y1,y2;reg [3:0] cstate,nstate;parameter s0=4’b0001,s1=4’b0010,s2=4’b0100,s3=4’b1000;always @ (posedge clock or posedge reset)b

2021-06-26 13:00:25 72

原创 2021-06-26

1实验目的;利用Quertus和Medus进行联合仿真2.;实验代码;module my_rs(reset,set,q,qbar);input reset,set;output q,qbar;nor #(1) n1(q,reset,qbar);nor #(1) n2(qbar,set,q);endmodulemodule tb_71;reg set,reset;wire q,qbar;initialbeginset<=0;reset<=1;#10 set<=0

2021-06-26 12:54:00 74

原创 2021-06-26

因以前视频图片与代码丢失因此只有视频网址1‘;实验目的;利用Quartus和Modus进行联合仿真2;视频网址’;【仿真设计-哔哩哔哩】https://b23.tv/RUolGv

2021-06-26 12:44:25 72

原创 2021-06-26

因以前实验图片和代码丢失因此只有视频1;实验目的、利用Quertus与Modus进行联合仿真2;实验视频代码;【仿真设计-哔哩哔哩】https://b23.tv/3kSpOr

2021-06-26 12:41:24 67

原创 2021-06-26

因为以前部分图片和代码已丢失,所以只有实验视频1;实验目的;利用Questur和Modus进行联合仿真2实验视频网址;【仿真设计-哔哩哔哩】https://b23.tv/U9IMDK

2021-06-26 12:38:10 148

原创 2021-06-20

1;;实验原理。利用QuartusheMedues进行联合仿真2;实验代码;module clock_top(second, minute,hour,m,h,a,b,c,c1,c2,c3,c4,c5,c6,reset);output[6:0]c1,c2,c3,c4,c5,c6;output a;input b,c, reset;input[7:0] m,h;output[7:0] second, minute,hour;wire[7:0] m,h;wire b,c,clk, reset;

2021-06-25 22:53:27 115

原创 2021-06-20

1‘;实验原理;利用Quertus和modues进行联合仿真2;实验代码;module Latch_Rbar_CA (output q_out,input data_in, enable,rst_b);assign q_out =!(rst_b==1’b0)?0: enable? data_in : q_out;endmodule****3’实验截图4实验网址转自哔哩哔哩;5实验视频网址;【仿真设计-哔哩哔哩】https://b23.tv/1qipJm...

2021-06-20 15:55:53 84

原创 2021-06-20

1;实验原理;利用Quartus he Meedures进行联合仿真2;实验代码‘;module clock_top(second, minute,hour,m,h,a,b,c,c1,c2,c3,c4,c5,c6,reset);output[6:0]c1,c2,c3,c4,c5,c6;output a;input b,c, reset;input[7:0] m,h;output[7:0] second, minute,hour;wire[7:0] m,h;wire b,c,clk, res

2021-06-20 15:40:49 82

原创 2021-06-20

1;实验原理;利用Quartus 与,Meddses的联合仿真2;实验年代码;module simple (Clock, Resetn, w, z);input Clock, Resetn, w;output z;reg [2:1]y, Y;parameter [2:1]A=2’b00, B=2’b01,C=2’b10;// Define the next state combinational circuitalways @(w, y)case (y)A: if(w) Y= B;els

2021-06-20 15:29:56 102

原创 2021-06-20

1;实验原理;利用Quartus进行联合仿真2;实验代码;module Latch_Rbar_CA (output q_out,input data_in, enable,rst_b);assign q_out =!(rst_b==1’b0)?0: enable? data_in : q_out;endmodule3;实验截图;4;实验网址:【学习仿真设计-哔哩哔哩】https://b23.tv/o8mfFp**5;注;**实验网址转来自哔哩哔哩、...

2021-06-20 15:22:05 67

原创 2021-06-08

1:实验截图2:实验内容:仿真与设计3:视频网址:https://b23.tv/5Fbu0J

2021-06-08 22:41:15 55

原创 2021-05-29

仿真与设计:视频网站:https://b23.tv/NbRRmI

2021-05-29 15:01:36 71

原创 仿真与设计

(1):仿真与设计(2):视频网址:https://b23.tv/5ZzJdQ

2021-05-29 14:55:26 90

原创 2021-05-24

(1)程序的操作与仿真(2)视频网址:https://b23.tv/qdpJHR

2021-05-24 14:21:28 73

原创 仿真与设计

(1)视频的仿真与设计2】:视频网址 【仿真设计-哔哩哔哩】https://b23.tv/c97O5F

2021-05-24 14:15:14 123

原创 仿真与设计

@[T代码的仿真与设计OC](这(2)视频网址:https://b23.tv/NadsNH

2021-05-24 14:11:28 95

原创 2021-05-07

第一次作业4——1(1)录制视频:https://b23.tv/u651kY(2)视频网站:https://b23.tv/u651kY第二次作业2——1(1)录制视频:https://b23.tv/XRjAVt(2)视频网站:https://b23.tv/XRjAVt

2021-05-07 21:26:00 44

原创 2021-03-15

(1)实验目的:对Quartus 2进行仿真实验(2)实验内容:对教材P38页进行实验仿真(3) 实验原理:使用计算机进行仿真实验,使用Verilog(4) 实验软件:Quartus2(5) 实验截图:(7) :录制实验视频:https://b23.tv/GWzpZu(8):软件下载网站https://pan.baidu.com/s/1ZT0ovNAAZ_j3jTGzVCYfbQ提取码:5jf2...

2021-03-15 21:51:58 75

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除