自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(42)
  • 收藏
  • 关注

原创 【FPGA】中值滤波处理BMP图片

bmp文件的存储格式是Windows系统中广泛使用的图像文件格式,对图像不做任何程度的压缩处理,主要分为位图头文件,位图信息头,调色板信息,像素数据四大部分,由于通常是处理RBG图像,因此仅讨论RGB的情况。中值滤波是基于排序统计理论的一种能有效抑制噪声的非线性信号处理技术,中值滤波的基本原理是把数字图像或数字序列中一点的值用该点的一个邻域中各点值的中值代替,让周围的像素值接近真实值,从而消除孤立的噪声点。:对3x3窗口的每一行进行排序,得到每行max,mid,min数。

2023-01-08 21:32:48 1686 9

原创 【FPGA】基于状态机实现自动售货机模拟

key1付款1元,key2付款5元,若投币数量小于商品总价则在五六位显示投币数量,且数码管第二位显示0表示无法出货,若投币数量大于等于商品总价则在五六位显示投币超出总价所需退款价格,数码管第二位显示1表示key出货,此时按下key3进入OUT状态。此自动售货机可以选择A(三元)与B(五元)商品且选择商品数量,一次可以投1块与5块钱。:key1商品数量加一,key2商品数量减一,在数码管第一位显示数量,商品数量不为0时按key3进入PAY状态,同时得到所需支付总价并在数码管三四位显示。

2022-11-26 22:56:18 2236

原创 【FPGA】UART串口通信——奇偶校验实现

因多了一位校验位,所以在之前uart代码的基础上,除了增加判断条件,还需要将data的位宽改为9位,bit_cnt计数器记到10(0-10共11位,起始位,8位数据,校验位,终止位)在之前的uart实现中,uart_rx模块接收完数据后就直接传给uart_tx进行输出,当有校验位时则需要在uart_tx输出前写一个判断条件。输出8’b10000101的数据和0正确校验位时,通过判断得到start拉高条件,tx输出。奇校验:数据1个数为奇时,校验为0,反之为1。偶校验:数据0个数为偶时,校验为0,反之为1。

2022-11-26 12:48:17 5728 1

原创 【FPGA】UART串口通信

tb文件里传入一个高低电平表示为8’b10101010的数据,然后经过uart_rx模块后得到一个8’b0101010的中间data,再经过uart_tx模块后输出高低电平表示为8’b10101010的数据。(也就是说串行通信传输的数据是1比特1比特的传送的)但并行传送的线路长度受到限制,因为长度增加,干扰就会增加,数据也就容易出错。并行是指多比特数据同时通过并行线进行传送,这样数据传送速度大大提高。uart_rx.v(数据输入模块)uart_tx.v(数据输出模块)uart_tb.v(测试文件)

2022-11-23 19:32:59 1008

原创 【FPGA】使用状态机完成对控制报文和数据报文的读取

报文读取

2022-11-19 16:52:11 798

原创 【FPGA】时钟分频器实现

4.时钟clk2可以通过clk下降沿时计数器控制,也可以把同一时钟周期把clk1的值在下降沿给clk2,两种方式都能达到clk2在clk1后半个clk周期的目的,我选择用后面一种方式实现。5.clk1与clk2的与运算得到一个clk_div,clk_div在计数器0时clk下降沿到计数器2时clk上升沿时为1,此时满足占空比50%3.设置两个时钟clk1,clk2,分别在计数器0与2初始时刻和0与2中间时刻取1。2.通过一个计数器cnt记录0,1,2三个数以达到三分频的需求。1.奇数分频(三分频)

2022-11-05 13:18:06 1195

原创 数字电路基础与Quartus-II入门

这里写目录标题一、Quartus II 的下载及安装二、Modelsim的下载三、实验:1位全加器设计一、Quartus II 的下载及安装百度网盘下载链接:https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA提取码:ifte下载后安装安装好后下载破解器百度网盘链接:https://pan.baidu.com/s/16GnGbr4v-EFKF0VZYUArsg提取码:766d破解器使用①将Quartus_13.1_x64破解器.rar文件放在

2022-03-15 20:06:22 548

原创 从IO到NIO再到Netty

文章目录一、IO、NIO、Netty特点1.IO2.NIO3.Netty二、代码实现三、运行结果参考一、IO、NIO、Netty特点1.IO1.服务端阻塞点server.accept();获取套接字的时候 inputStream.read(bytes);输入流读取数据的时候2.传统socket是短连接,可以做短连接服务器,他无法做长连接,属于一问一答的模式,比如老的tomcat底层用的就是socket,用完就会关掉线程,因此不会出现线程一直被占用的情况,支持处理多个客户端连接(1)单线程情况下只

2022-01-14 00:04:23 116

原创 STM32之串口通信

文章目录一、串口通信与USART二、程序编写输出Hello Windows三、GPIO波形参考链接一、串口通信与USART二、程序编写输出Hello Windows串口通信串口通信是一种设备间非常常用的串行通行方式,其简单便捷,大部分电子设备都支持。RS-232标准: 主要规定了信号的用途、通信接口以及信号的电平标准。USARTUSART 满足外部设备对工业标准 NRZ 异步串行数据格式的要求,并且使用了小数波特率发生器,可以提供多种波特率,使得它的应用更加广泛。USART 支持同步单向通信

2022-01-13 23:19:16 2220

原创 数学图形与机器视觉基础(2)

数学图形与机器视觉基础(2)

2021-12-24 22:40:43 408

原创 数学图形与机器视觉基础(1)

文章目录一、一、原图如下,首先将其分别保存为 32位、16位彩色和256色、16色、单色的位图(BMP)文件。32位彩色图16位彩色图256色图16色图单色图

2021-12-08 19:30:02 2403

原创 uc/os3多任务与实时日历

uc/os3多任务与实时日历

2021-12-05 00:10:43 161

原创 游戏客户端编程

游戏客户端编程

2021-11-27 22:52:04 3146

原创 基于STM32的0.96寸OLED显示屏显示数据

文章目录一、了解SPI(串行外设接口)二、使用0.96寸OLED显示;屏显示数据一、了解SPI(串行外设接口)1.SPI的定义SPI(Serial Peripheral Interface)就是串行外围设备接口。SPI,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚。SPI 是一个环形总线结构,由 ss(cs)、sck、sdi、sdo 构成,时序主要是在 sck 的控制下,两个双向移位寄存器进行数据交换。上升沿发送、下降沿接收、高位先发送。上升沿到来的时候

2021-11-26 22:26:59 286

原创 stm32通过I2C接口实现温湿度(AHT20)的采集

文章目录一、I2C总线协议二、实现AHT20采集程序三、参考资料一、I2C总线协议1.什么是I2C协议I2C 通讯协议(Inter-Integrated Circuit)是由 Phiilps 公司开发的,由于它引脚少,硬件实现简单,可扩展性强,不需要 USART、CAN 等通讯协议的外部收发设备,现在被广泛地使用在系统内多个集成电路(IC)间的通讯。2.I2C 协议的物理层和协议层①物理层I2C是一个支持设备的总线。可连接多个 I2C 通讯设备,支持多个通讯主机及多个通讯从机。对于I2C 总线,

2021-11-26 15:57:05 128

原创 C#利用套接字实现数据发送

文章目录一、任务要求二、C#实现HelloWorld一、任务要求用C#、Java或python编写一个命令行/控制台的简单hello world程序,实现如下功能:在屏幕上连续输出50行“hello cqjtu!重交物联2019级”;同时打开一个网络UDP 套接字,向另一台室友电脑发送这50行消息。二、C#实现HelloWorld编程软件:Visual Studio 20191.打开vs,创建新项目,选择第二个控制台应用(C#,Windows,控制台)编写代码using System;u

2021-11-24 21:30:06 421

原创 网络爬虫之入门练习

文章目录一、网络爬虫介绍二、开发环境三、爬取南阳理工学院ACM题目网站的信息四、爬取重庆交通大学新闻网站的信息参考链接一、网络爬虫介绍网络爬虫(又被称为网页蜘蛛,网络机器人,在FOAF社区中间,更经常的称为网页追逐者),是一种按照一定的规则,自动地抓取万维网信息的程序或者脚本。简单来说就是通过编写脚本模拟浏览器发起请求获取数据。爬虫从初始网页的URL开始, 获取初始网页上的URL,在抓取网页的过程中,不断从当前页面抽取新的url放入队列。直到满足系统给定的停止条件才停止。二、开发环境我使用的IDE是

2021-11-20 23:27:06 350

原创 Wireshark网络抓包疯狂聊天程序

文章目录一、疯狂聊天环境配置二、使用wireshark进行抓包三、分析抓包四、参考文献一、疯狂聊天环境配置下载好疯狂聊天软件后,打开计算机管理,设备管理器网络适配器中需要禁用这几项,否则无法双向通信注意,要将系统内置防火墙关闭,疯狂聊天程序才能正常发现目标疯狂聊天需要两台电脑连接同一网络,上述设置好后,便可以通过软件进行聊天二、使用wireshark进行抓包在wireshark中输入筛选ip.dst==255.255.255.255进行过滤通过分析可得知此程序是通过UDP协议进行通信的

2021-11-20 23:04:06 1794

原创 人脸识别数据集

文章目录一、人脸特征提取二、获取每个人68个特征数据并保存到csv中参考文献一、人脸特征提取import cv2import dlibimport osimport sysimport random# 存储位置output_dir = 'D:/mypicture/picture/'size = 64if not os.path.exists(output_dir): os.makedirs(output_dir)# 改变图片的亮度与对比度def relight(im

2021-11-19 22:12:07 559

原创 基于LibSVM得到决策函数

文章目录一、LIBVIM下载与配置二、使用LibSVM工具准备数据三、训练模型并写出决策函数的数学公式参考文献一、LIBVIM下载与配置官网下载链接:https://www.csie.ntu.edu.tw/~cjlin/libsvm/划到最下方,选择需要的版本点击下载压缩包然后解压文件夹,得到如下目录,因为我用的java平台,所以选择第一个java文件夹并且打开得到以下文件在IDEA上创建项目,并导入文件1.将libsvm文件夹复制到scr目录下2.添加一个text的包和类,将以下文件导

2021-11-19 11:19:12 677

原创 点阵汉字的字模读取与显示

点阵汉字的字模读取与显示

2021-11-12 23:11:55 171

原创 SVM深入理解&人脸特征提取

SVM深入理解&人脸特征提取

2021-11-12 21:43:39 1011

原创 STM32中断与DMA通信编程

STM32中断与DMAA通信编程

2021-11-08 22:29:42 102

原创 线性判别准则与线性分类编程实践

线性判别准则与线性分类编程实践一、LAD原理1.LDA的基本思想2.瑞利商和广义瑞利商3.二分类LDA原理4.多分类LDA原理5.LDA算法流程6.小结

2021-11-08 22:07:11 131

原创 决策树挑出好西瓜

决策树挑出好西瓜

2021-11-06 22:14:10 532

原创 网络抓包与HTTP协议

#网络抓包与HTTP协议

2021-11-06 22:12:07 252

原创 多元线性回归算法预测房价

多元线性回归算法预测房价

2021-11-05 22:47:00 133

原创 从JDBC到Mybatis

从JDBC到Mybatis

2021-10-22 23:17:35 47

原创 STM32F103寄存器方式点亮流水灯

STM32F103寄存器方式点亮流水灯

2021-10-22 22:37:52 78

原创 GCC背后的故事&OpenCV相逢何必曾相识

GCC背后的故事&OpenCV相逢何必曾相识还没做完

2021-10-17 22:21:00 487

原创 STM32的C与汇编语言混合编程

STM32的C与汇编语言混合编程【嵌入式】还没做完

2021-10-15 22:41:07 101

原创 Git入门&Web前端初窥

Git入门&Web前端初窥文章目录Git入门&Web前端初窥1.Git安装2.登录git3.上传项目代码到Git4.IDEA启动springboot项目5.SpringBoot 项目同步到对应Github仓库中参考资料1.Git安装Git下载地址:https://git-scm.com/downloads下载windows版本,下载完成后,打开安装包。点击Next,选择安装路径点击Next,除最后一项外全部勾选然后一直点next,开始安装。安装成功后,打开Git,输入命令$

2021-10-15 16:41:51 38

原创 重温MySQL与JDBC小时代

重温MySQL与JDBC小时代1.MySQL安装进入MySQL官网链接: https://www.mysql.com/downloads/.选择downloads划到下面,点击MySQL Community (GPL) Downloads选择MySQL Community Server点击Go to Download Page选择下载的版本选择No thanks, just start my download.直接开始下载下载完成后,打开安装包一直点击next进到下一步在此界面设置

2021-10-13 16:54:10 84

原创 ARM汇编程序调试

ARM汇编程序调试文章目录ARM汇编程序调试一、任务内容二、任务过程一、任务内容二、任务过程

2021-10-03 22:12:18 205

原创 人工智能与机器学习——初识线性回归

人工智能与机器学习——初识线性回归文章目录人工智能与机器学习——初识线性回归一、任务要求二、任务过程1.数据分析2.用jupyter编程3.用jupyter编程,借助skleran一、任务要求1.用excel中数据分析功能做线性回归练习。分别选取20、200、2000(或20000)组数据,进行练习。记录回归方程式、相关系数R2 ;2.用jupyter编程(不借助第三方库),用最小二乘法,重做第1题;3.用jupyter编程,借助skleran,重做第1题。对三种方式的结果进行对比,完成技术博客

2021-10-02 19:19:19 69

原创 软件设计模式的学习(以Java为例)

软件设计模式的学习(以Java为例)文章目录软件设计模式的学习(以Java为例)一、任务要求二、任务过程1.单例模式(一)什么是单例模式(二)单例模式的实现方法懒汉模式饥汉模式饱汉模式双重锁模式(三)单例模式优缺点优点缺点2.工厂模式(一)什么是工厂模式(二)工厂模式的实现方法简单工厂模式工厂方法模式抽象工厂模式(三)工厂模式的优缺点优点缺点一、任务要求掌握“单例模型”和“工厂模式”(又分为简单工厂模型、工厂方法模型、抽象工厂模式)的概念和优缺点。调通例子代码。二、任务过程1.单例模式(一)什么

2021-09-20 21:47:46 196

原创 Spring Boot 开发入门

一. Spring Boot 开发入门— helloworld web1.任务要求在Idea上创建基于Spring Boot的web 项目,当客户端浏览器访问该web资源时,返回的网页显示 “helloword Spring Boot!这是一个用Spring Boot开发的网站。”2.任务过程(一).创建项目点击Create New Project选择Spring Initializr并Next设置好Group,Artifact名字,点击next勾选Web,Spring Web,点击next

2021-09-20 18:40:45 97

原创 Ubuntu系统下用C语言编写以及用Makefile方式编程主程序

Ubuntu系统下用C语言编写以及用Makefile方式编程主程序一.Ubuntu开发环境下C语言编写1.任务要求请编写一个主程序文件 main1.c 和一个子程序文件 sub1.c, 要求:子程序sub1.c 包含一个算术运算函数 float x2x(int a,int b),此函数功能为对两个输入整型参数做某个运算,将结果做浮点数返回;主程序main1.c,定义并赋值两整型变量,然后调用函数 x2x,将x2x的返回结果printf出来。请在ubuntu系统用gcc 命令行方式编译主程序mai

2021-09-16 21:51:14 563

原创 IDEA环境搭建和Spring入门

IDEA环境搭建和Spring入门一.软件环境安装1.根据教程安装好IDEA2.在importing中勾选maven的自动导入功能3.导入JDK,环境配置完成二.代码开发过程1.以helloworld为例创建一个java类型的project点击File-New-Project创建点击scr-New-Package输入helloworld代码,并运行2.以helloworld为例创建一个java类型的project创建new project类型时选择spring在配置文件中加入代码

2021-09-12 16:08:05 58

原创 Web应用基础》课程结业报告

《Web应用基础》课程结业报告一.做的什么做的一个社团介绍网页,有社团信息介绍,社团活动照片,社团部门介绍。二.开发过程1.社团介绍<div class="banner grjs"> <div class="title"> <p>社团介绍</p> <p class="en"></p> </div> </div> <!-- main部分 --> &lt

2021-06-20 19:56:25 145

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除