矩阵按键实验

矩阵按键简介

        以 4*4 矩阵键盘为例。 16 个按键被排成 4 行 4 列,第一行将每个按键的一端连接在一起构成行线,第一列将每个按键的另一端连接在一起构成列线,这样便一共有 4 行 4 列共 8 根线,如图所示:

        将这 8 根线连接到单片机的 8 个 I/O 口上,通过程序扫描键盘就可检测 16 个键。用这种方法也可实现 3 行 3 列 9 个键、 5 行 5 列 25 个键、 6 行 6 列 36 个键甚至更多。

        无论是独立键盘还是矩阵键盘,单片机检测其是否被按下的依据都一样,即检测与该键对应的 I/O 口是否为低电平。独立键盘有一端固定为低电平,此种方式编程比较简单。 而矩阵键盘两端都与单片机 I/O 口相连,因此在检测时需编程通过单片机 I/O 口送出低电平。检测方法有多种,最常用的是行列扫描和线翻转法。

        行列扫描法,检测时,先送一列为低电平,其余几列全为高电平(确定列数),然后立即轮流检测一次各行是否有低电平,若检测到某一行为低电平(确定行数),则便可确认当前被按下的键是哪一行哪一列 的,用同样方法轮流送各列一次低电平,再轮流检测一次各行是否变为低电平, 这样即可检测完所有的按键,当有键被按下时便可判断出按下的键是哪一个键。 当然,也可以将行线置低电平,扫描列是否有低电平,从而达到整个键盘的检测。

        线翻转法,就是使所有行线为低电平时,检测所有列线是否有低电平,如果有,就记录列线值;然后再翻转,使所有列线都为低电平,检测所有行线的值, 由于有按键按下,行线的值也会有变化,记录行线的值。从而就可以检测到全部按键。

        同时,矩阵键盘也少不了按键消抖的环节,此处采用行列扫描法来检测哪个按键按下。

硬件部分

        使用到硬件资源如下:

  1. 静态数码管
  2. 4*4 矩阵按键

        静态数码管模块电路在静态数码管实验中有介绍,此处不多做赘述。矩阵按键模块电路如下图所示:

        由图可知,该模块电路独立,4*4 矩阵按键引出的 8 根控制管脚并未直接连接到 51 单片机的 IO 上,而是连接到 JP3 端子上。电路中的 ARRAY_H1 表示矩阵键盘第 1 行,ARRAY_L1 表示矩阵键盘第 1 列。

源代码

        核心代码为 key_matrix_ranks_scan 函数和 key_matrix_flip_scan 函数,前者是使用行列式扫描方式实现,而后者是使用线翻转式扫描方式实现, 实现功能一致,二者可选其一。

行列式

        行列式扫描原理比较简单,与独立式按键操作类似,即给每一列赋值 0,此时的矩阵按键就被分割成独立按键,然后再判断每一列中的按键按下情况,并返回对应的键值。如此循环 4 组,就可将 4 列 4 行按键按下键值全部得到。

       源代码如下所示:

#include "reg52.h"

typedef unsigned int u16;//使用关键字 typedef 对系统默认数据类型 unsigned int 重新命名
typedef unsigned char u8;
 
#define KEY_MATRIX_PORT P1	 //使用宏定义矩阵按键控制口
#define SMG_A_DP_PORT P0	 //使用宏定义数码管段码口

/*共阴极数码管显示0~F的段码数据*/
u8 gysmg_code[17]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,
				0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};

void delay_10us(u16 ten_us)//延时函数,ten_us=1 时,大约延时 10us
{
 	while(ten_us--);
}

u8 KEY_MATRIX_RANK_SCAN(void)
{
	u8 key_value=0;//定义一个返回给主函数,代表按下按键的数	

 	/*判断第一列*/
	KEY_MATRIX_PORT=0xf7;	   //给第一列赋值0,其余列全为1
	if(KEY_MATRIX_PORT!=0xf7)  //判断第一列按键是否按下
	{
	 	delay_10us(1000);	   //消抖
		switch(KEY_MATRIX_PORT)//判断是哪一行按键按下,并返回按键对应的键值
		{
		 	case 0x77:key_value=1;break;	//返回第一个按键,即:1
			case 0xb7:key_value=5;break;	//返回第二个按键,即:2
			case 0xd7:key_value=9;break;
			case 0xe7:key_value=13;break;
		}
	}
	 while(KEY_MATRIX_PORT!=0xf7);//等待按键松开

	 /*判断第二列*/
     KEY_MATRIX_PORT=0xfb;
	 if(KEY_MATRIX_PORT!=0xfb)
 	 {
	 	delay_10us(1000);
		switch(KEY_MATRIX_PORT)
		{
		 	case 0x7b:key_value=2;break;
			case 0xbb:key_value=6;break;
			case 0xdb:key_value=10;break;
			case 0xeb:key_value=14;break;
		}
	 }
	  while(KEY_MATRIX_PORT!=0xfb);//等待按键松开

	 /*判断第三列*/
	 KEY_MATRIX_PORT=0xfd;
	 if(KEY_MATRIX_PORT!=0xfd)
	 {
	 	delay_10us(1000);
		switch(KEY_MATRIX_PORT)
		{
		 	 case 0x7d:key_value=3;break;
			 case 0xbd:key_value=7;break;
			 case 0xdd:key_value=11;break;
			 case 0xed:key_value=15;break;
		}
	 }
	  while(KEY_MATRIX_PORT!=0xfd);//等待按键松开

	  /*判断第四列*/
	  KEY_MATRIX_PORT=0xfe;
	  if(KEY_MATRIX_PORT!=0xfe)
	  {
	 	delay_10us(1000);
		switch(KEY_MATRIX_PORT)
		  {
		 	  case 0x7e:key_value=4;break;
		  	  case 0xbe:key_value=8;break;
			  case 0xde:key_value=12;break;
			  case 0xee:key_value=16;break;
		  }
	  }
	   while(KEY_MATRIX_PORT!=0xfe);//等待按键松开

	   return key_value;
}

void main(void)	   //主函数
{				  
	u8 key=0;//定义一个变量来接收KEY_MATRIX_RANK_SCAN()函数的返回值	

	while(1)   //行列式扫描函数在无限循环中持续扫描
	{
 		key=KEY_MATRIX_RANK_SCAN();//函数调用,并返回按下按键所对应的数
		if(key!=0)	 //有按键按下
			SMG_A_DP_PORT=~gysmg_code[key-1];//减1,是因为数组元素下标是从0开始的
	}
}

 线翻转式

        线翻转式扫描可以先确定行,后确定列,可以先确定列,再确定行,方法是一样的。难以理解的朋友可以脑中先确定一个按键按下(比如第2行,第3列的键值为7的按键)带入程序中理解,程序有详细的注释。

#include "reg52.h"

typedef unsigned int u16;//使用关键字 typedef 对系统默认数据类型 unsigned int 重新命名
typedef unsigned char u8;
 
#define KEY_MATRIX_PORT P1	 //使用宏定义矩阵按键控制口
#define SMG_A_DP_PORT P0	 //使用宏定义数码管段码口

/*共阴极数码管显示0~F的段码数据*/
u8 gysmg_code[17]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,
				0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71};

void delay_10us(u16 ten_us)//延时函数,ten_us=1 时,大约延时 10us
{
 	while(ten_us--);
}

u8 KEY_MATRIX_FLIP_SCAN(void)
{
 	static u8 key_value=0; //定义一个返回主函数,代表第几个按键的值

	KEY_MATRIX_PORT=0x0f;  //给所有行赋值0,列全为1
	if(KEY_MATRIX_PORT!=0x0f) //判断按键是否按下,若按下,则KEY_MATRIX_PORT不等于0x0f
	{
	 	delay_10us(1000);	//消抖
		if(KEY_MATRIX_PORT!=0x0f)  //若KEY_MATRIX_PORT!=0x0f,则确定按键已按下,且消抖了,开始测试按键的行列
		{
		 	/*测试列*/
			KEY_MATRIX_PORT=0x0f;	  //再次设置所有行赋值0,列全为1
			switch(KEY_MATRIX_PORT)	  //通过匹配case的值,确定按下的按键在那一列
			{
			 	case 0x07:key_value=1;break;   //在第一列
				case 0x0b:key_value=2;break;   //在第二列
				case 0x0d:key_value=3;break;   //在第三列
				case 0x0e:key_value=4;break;   //在第四列
			}
			/*测试行*/
			KEY_MATRIX_PORT=0xf0;	   //设置所有行赋值1,列全为0
			switch(KEY_MATRIX_PORT)	   //匹配case的值,确定按下的按键在那一行
			{
			 	case 0x70:key_value=key_value;break;	  //在第一行,并返回行列所对应的键值(即16个按键中的第几个)
				case 0xb0:key_value=key_value+4;break;	  //在第二行,并返回行列所对应的键值
				case 0xd0:key_value=key_value+8;break;	  //在第三行,并返回行列所对应的键值
				case 0xe0:key_value=key_value+12;break;	  //在第四行,并返回行列所对应的键值
			}
			while(KEY_MATRIX_PORT!=0xf0);//等待按键松开,松开之前KEY_MATRIX_PORT不等于0xf0,会一直在while中循环
		}
	}
	else   //按键没有按下
		key_value=0;

  	return key_value;
}

void main(void)	   //主函数
{				  
	u8 key=0;//定义一个变量来接收KEY_MATRIX_RANK_SCAN()函数的返回值	

	while(1)   //行列式扫描函数在无限循环中持续扫描
	{
 		key=KEY_MATRIX_FLIP_SCAN();//函数调用,并返回按下按键所对应的数
		if(key!=0)	 //判断是否有按键按下
			SMG_A_DP_PORT=~gysmg_code[key-1];//减1,是因为数组元素下标是从0开始的
	}
}

  现象

 

          按下矩阵按键模块中相应按键,静态数码管显示按键所对应的0~F值。所图所示:

         

  • 2
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值