【FPGA】HDMI通信协议解析及FPGA实现


  笔者在这里使用的开发板是正点原子的达芬奇开发板,FPGA型号为XC7A35TFGG484-2。参考的课程是正点原子的课程手把手教你学达芬奇&达芬奇Pro之FPGA开发篇


一、HDMI简介

在这里插入图片描述

  HDMI,全称为High Definition Multimedia Interface,即高清多媒体接口。它不仅可以传输视频信号,还可以传输音频信号。上图所示的HDMI接口即为最常见的HDMI-A型接口。有19个引脚,尺寸为13.9×4.45mm,广泛应用于笔记本,投影仪,显示器等,工作频率约为160MHz。MDMI有不同的协议版本,比较重要的几个协议版本如1.0(2002.12)、1.3(2006.6)、2.0(2013.9)、2.1(2017.1),协议版本越高级,HDMI信号的传输速率、支持的分辨率以及帧率就越高。目前主流的HDMI协议版本为2.0。

1.1 HDMI引脚解析

  HDMI的引脚及其定义如下图所示:
在这里插入图片描述
  在HDMI协议中,总共有4组差分线,每组分别由+信号-信号屏蔽信号组成。四组信号线分别传输R、G、B信号以及时钟信号。CEC为HDMI的扩展功能,可以使用这一条线传输两个设备之间的控制信息,SCLSDA是HDMI的I2C接口,可以实现不同设备之间EDID信息传输(Extended Display Identification Data 、外部显示设备标识数据——指DDC通讯中传输的显示设备数据)。热插拔检测线用于检测是否有从机连接到主机。

1.2 HDMI工作原理

  HDMI的工作原理图如下所示。图中,TMDS指最小化传输差分信号(Transition Minimized Differential Signaling),是指被转换后的传输信号。视频信号Video和音频信号Audio通过一种特定的编码方式转换为10bit的串行差分信号,这种信号即成为TMDS信号。在主机上进行编码,在从机上进行解码,从而实现两个设备之间的信息交互。
在这里插入图片描述
在这里插入图片描述

  上图展示了RGB-888格式下的TMDS信号和TERC4转换以及连接方式。视频信息采用TMDS进行编码,音频信号采用TERC4进行编码。每一路信号都是并行信号,HSYNCVSYNC是显示的水平同步信号和竖直同步信号,这两个信号只和蓝色通道一起编码。绿色通道和红色通道的CTL0-3用来传输控制信号。TERC4频编码较为复杂,本文章不涉及。时钟信号也需要编码,但是较为简单,上图中没有展示出来。

1.3 DVI编码

在这里插入图片描述

  当HDMI只传递视频信息不传递音频信息,HDMI协议就可以退化为DVI协议。DVI也使用
TMDS进行编码。DVI编码中有一个视频数据使能VDE信号,当它拉高时传递像素信号,当它拉低时传递控制信号和水平数值同步信号。

1.4 TMDS编码

  TMDS指最小化传输差分信号(Transition Minimized Differential Signaling),主要适用于HDMI和DVI视频信号的编码。它的编码方式是将原有的8bit数据编码为10bit数据。

  1. 8bit数据:通过同或/异或算法得到。
  2. bit9:反映前8bit数据的运算方式,如果是0,则说明前8bit通过异或非(同或)方式得到的,如果是1,就说明是通过异或方式得到的。
  3. bit10:直流平衡位。在高速的差分信号传输中,通常在接口处采用交流耦合,即会在接口处添加一个隔直电容去掉交流量。如果传输的信号长时间保持不变,就有可能在信号接收端出现直流偏移,导致解码错误。所以我们在编码时添加一个直流平衡位,如果前面数据1比较多,那么bit10 = 0,反之,如果前面数据0比较多,那么bit10 = 1

在这里插入图片描述
  TMDS的编码过程可以由下图所示:
在这里插入图片描述

参数名称含义
D C1 C0 DED是视频信号, C是控制信号, DE是使能信号
Cnt(t)寄存器参数,用来存储第t次编码中1的个数比0的个数多多少(为了满足TMDS减少上冲下冲和确保直流平衡的要求)
N1{x}输入信号中1的个数
N0{x}输入信号中0的个数
q_m临时寄存的数据输出
q_out编码输出

1.5 图像信息显示的重要参数及时序

  场同步信号VSYNC是一张图片开始的起始标志。在显示一张图片时,各个像素逐行点亮。行同步信号HSYNC是上一行像素数据切换到下一行像素数据的标志。每当它有效(不同厂家的行同步信号的有效电平可能不同,可能是高电平有效,也有可能是低电平有效,也有可能是一段特定的波形有效)时,切换像素数据显示的行。
在这里插入图片描述

  在老式电视机中,每当一行数据显示完成后,电子束轰击位置切换到下一行显示需要一定的时间,这一段时间称为准备时间(行同步时间)。随着时间的推移,定义这一段时间成为一种行业规范,通常被称为消影时间。每一行像素数据的传输从行同步后延HBP开始,从行同步前沿HFP结束,从前一行的行同步前沿到下一行的行同步后沿的时间就是准备时间,在这一段时间内显示屏不点亮任何的像素点。
  显示到一幅图像的最后一个像素点时,需要转移到显示屏的第一个像素点刷新数据,这一段准备时间甚至比行同步前后沿之间的准备时间更长(场同步时间),同样可以定义场同步前沿VFP和场同步后沿VBP。循环往复,不断切换,就会形成动态的动画。
  不同大小的屏幕驱动时钟频率不同,原因在于像素点的数量不同,要实现近似的帧率和显示效果,就需要不同的驱动频率。
  图像(视频)的显示时序如下所示:
在这里插入图片描述
在这里插入图片描述

二、并串转换、单端差分转换原语

2.1 原语简介

  原语:英文名称Primitive,是Xilinx针对其器件特征开发的一系列常用模块名称,涵盖了FPGA开发过程中的常用领域,方便用户直接调用FPGA的底层组件。以Xilinx为例,共分为10类:计算组件、IO端口组件、寄存器/锁存器、时钟组件、处理器组件、移位寄存器、配置和检测组件、RAM/ROM组件、Slice/CLB组件以及G-tranceiver。可以将原语理解为一段特殊的代码。实际上,调用原语是在实例化某个Xilinx的内置模块。
  原语的好处在于原语可以之间看作为“库函数”,可以直接例化调用,比创建IP要更加方便,功能也更全面,可以有效提高开发效率。

2.2 原语:IO端口组件

  IO端口组件是Xilinx的一类原语。IO组件中一共包含了21个原语,对应21个功能。在本次实验中主要采用并转串OSERDES和单端转差分OBUFDS两个原语。
在这里插入图片描述
  IO组件的结构如下图所示。图中IDELAYE2ODELAYE2分别是输入延迟和输出延迟,主要作用是为了解决高速信号传输中信号线可能不等长的问题(等待最长的一根线数据到来后再读取数据)。ILOGICE2OLOGICE2中主要包含了IDDRODDR的资源,用于双边沿取样。ISERDESE2的作用是将单端输入的串行数据转换为并行数据,OSERDESE2的作用是将并行数据转换为单端串行数据输出。

  达芬奇使用的FPGA芯片为A7系列,其中没有HPBANK,所以没有ODELAYE2。ZYNQ也只有7030以上系列才有HPBANK,所以一般使用的FPGA芯片是没有ODELAYE2的。

在这里插入图片描述

2.3 IOB 输入输出缓冲区

  IOB的结构如下所示,在本次实验中它主要完成单端转差分的操作。因为差分信号至少需要两条线,所以一个IOB是无法完成的,至少需要两个IOB才能完成这个功能。
在这里插入图片描述

2.4 并转串原语OSERDESE2

2.4.1 OSERDESE2 工作原理

  对于一个并行数据,要想把它转换为串行数据有以下两种做法:

  1. 一个时钟周期内有10bit数据,将该时钟作10倍频,倍频后的时钟每一个周期存放一个数据。这种做法易于理解,但是最大的问题是将时钟进行10倍频后芯片以及外部设备可能不支持这样高的频率。
  2. 一个时钟周期内有10bit数据,将该时钟作5倍频,倍频后的时钟的上升沿和下降沿都进行数据转换输出,即DDR双边沿采样。这样可以有效缓解倍频给时钟带来的压力。

  OSERDESE2的结构如下图所示。该组件可以分成三部分,上面的TCETBYTEIN和一部分电路组成三态控制;中间的CLKCLKIDIVRST组成时钟控制部分,CLK为快速时钟(5倍频),CLKDIV是低速时钟(1倍频);下面的OCED1-D8OQOFB等组成并转穿的转换部分。数据转换数据只有8个数据输入口,要想实现10转1,则需要将两个OSERDESE2级联使用。
在这里插入图片描述
在这里插入图片描述

2.4.2 OSERDESE2 级联示意图

  级联时需要注意:根据硬件的硬性要求,从模式的OSERDESE2D1-D2不支持输入,只能从D3开始输入引脚,即可以选择D3-D8
在这里插入图片描述

2.4.3 OSERDESE2 工作时序图

  下面是OSERDESE2工作在普通模式和三态模式的两种时序工作图。第一张图数据为8位并行转串行,CLKCLKDIV的周期之比为4:1。第二张图数据为4位并行转串行,并且包含T1-T4三态门控制,CLKCLKDIV的周期之比为2:1。
在这里插入图片描述
  T1-T4均为高电平有效,对应TQ将要输出的数据。且在当前CLKDIV周期采样,下个周期输出。在TQ高电平期间,可以执行输入操作。
在这里插入图片描述

2.4.4 OSERDESE2 原语调用实例

在这里插入图片描述
  在原语调用实例中,需要特别注意以下几个参数:

  1. .DATA_RATE_OQ("DDR"):采用双边沿采样;
  2. .DATA_WIDTH(10):将要转换的数据宽度;
  3. .SERDES_MODE("MASTER"):设置级联下的主从模式;
  4. .SHIFTOUT1/2(SHIFTOUT1/2).SHIFTIN1/2(SHIFTIN1/2):级联下的数据线连接方式,注意不要连错。
	OSERDESE2 #(
        .DATA_RATE_OQ("DDR"),           // DDR, SDR
        .DATA_RATE_TQ("DDR"),           // DDR, BUF, SDR
        .DATA_WIDTH(10),                // Parallel data width (2-8,10,14)
        .INIT_OQ(1'b0),                 // Initial value of OQ output (1'b0,1'b1)
        .INIT_TQ(1'b0),                 // Initial value of TQ output (1'b0,1'b1)
        .SERDES_MODE("MASTER"),         // MASTER, SLAVE
        .SRVAL_OQ(1'b0),                // OQ output value when SR is used (1'b0,1'b1)
        .SRVAL_TQ(1'b0),                // TQ output value when SR is used (1'b0,1'b1)
        .TBYTE_CTL("FALSE"),            // Enable tristate byte operation (FALSE, TRUE)
        .TBYTE_SRC("FALSE"),            // Tristate byte source (FALSE, TRUE)
        .TRISTATE_WIDTH(4)              // 3-state converter width (1,4)
    ) OSERDESE2_inst (
        .OFB(OFB),                      // 1-bit output: Feedback path for data
        .OQ(OQ),                        // 1-bit output: Data path output
        // SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each)
        .SHIFTOUT1(SHIFTOUT1),
        .SHIFTOUT2(SHIFTOUT2),
        .TBYTEOUT(TBYTEOUT),            // 1-bit output: Byte group tristate
        .TFB(TFB),                      // 1-bit output: 3-state control
        .TQ(TQ),                        // 1-bit output: 3-state control
        .CLK(CLK),                      // 1-bit input: High speed clock
        .CLKDIV(CLKDIV),                // 1-bit input: Divided clock
        // D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each)
        .D1(D1),
        .D2(D2),
        .D3(D3),
        .D4(D4),
        .D5(D5),
        .D6(D6),
        .D7(D7),
        .D8(D8),
        .OCE(OCE),                      // 1-bit input: Output data clock enable
        .RST(RST),                      // 1-bit input: Reset
        // SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each)
        .SHIFTIN1(SHIFTIN1),
        .SHIFTIN2(SHIFTIN2),
        // T1 - T4: 1-bit (each) input: Parallel 3-state inputs
        .T1(T1),
        .T2(T2),
        .T3(T3),
        .T4(T4),
        .TBYTEIN(TBYTEIN),              // 1-bit input: Byte group tristate
        .TCE(TCE)                       // 1-bit input: 3-state clock enable
    );

2.5 单端转差分原语OBUFDS

  OBUFDS的调用较为简单,直接按照如下的格式调用即可。

    OBUFDS #(
		.IOSTANDARD("TMDS_33")
	) OBUFDS_inst (
        .O(O),            // 1-bit output: Diff_p output (connect directly to top-level port)
        .OB(OB),          // 1-bit output: Diff_n output (connect directly to top-level port)
        .I(I)             // 1-bit input: Buffer input
    );

三、HDMI接口硬件设计

  以正点原子的达芬奇开发板为例,HDMI A和HDMI B的结构完全相同:
请添加图片描述
  达芬奇Pro上的HDMI B接口只做输出使用,不作输入使用,故电路结构有所不同:
在这里插入图片描述
  当仅需要将HDMI作为输出使用时,正点原子历程的做法是不检测HOT PLUG信号,在任何时刻都将信号输出到端口,但是这样的做法明显不够严谨,这样做会白白增加FPGA的功耗,可以根据需要对正点原子的代码进行改进。但作为彩条显示实验,重点在于视频信号的TMDS编码,以及并串转换原语。
  本次实验的目标是驱动FPGA上的HDMI接口,在显示器上显示720p(1280*720)的彩条图案,像素时钟约为75MHz(根据标准应该是74.25MHz,设置为75MHz可以简化约束操作)。

四、程序设计

HDMI彩条
video_display
播放彩条, 产生彩条数据
video_Driver
驱动屏幕
encode
TMDS编码, 三个通道依次编码
serializer
并转串
obufds
串行转差分, 四个端口依次转差分输出
PLL
倍频产生像素时钟和并转串时钟
  • asyn_rst_syn:异步复位,同步释放:人为的复位信号来临时,不需要考虑同步和异步的问题,因为复位按键按下的持续时间至少是毫秒级别,远远大于一个时钟周期;但是复位信号释放时,如果没有同步释放模块,就会因为芯片内部不同模块电路复位信号端口距离复位引脚的远近不同而导致各个模块接收到复位信号的时间可能不同。为了解决这个问题,将原始的rst_n信号打两拍输出,并且根据后接模块的需要将复位信号改为高电平有效:
module asyn_rst_syn (
        input clk,          //目的时钟域
        input reset_n,      //异步复位,低有效

        output syn_reset    //高有效
    );

    // reg define
    reg reset_1;
    reg reset_2;

    assign syn_reset = reset_2;

    // 对异步复位信号进行同步释放,并转换成高有效
    always @ (posedge clk or negedge reset_n)
    begin
        if (!reset_n)
        begin
            reset_1 <= 1'b1;
            reset_2 <= 1'b1;
        end
        else
        begin
            reset_1 <= 1'b0;
            reset_2 <= reset_1;
        end
    end

endmodule


  持续不定期更新完善中……


  原创笔记,码字不易,欢迎点赞,收藏~ 如有谬误敬请在评论区不吝告知,感激不尽!博主将持续更新有关嵌入式开发、FPGA方面的学习笔记。


  • 16
    点赞
  • 53
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
FPGA(Field Programmable Gate Array)是一种可编程逻辑设备,可以在硬件级别上定义和重新配置数字逻辑电路。CAN(Controller Area Network)是一种常用的网络通信协议,广泛应用于汽车和工业领域。 FPGA实现CAN通信协议的过程主要分为两个步骤:硬件设计和软件开发。 在硬件设计方面,首先需要将CAN协议的控制器部分实现FPGA中。控制器负责管理发送和接收CAN消息的过程。它包括了CAN协议的帧解析、错误检测和错误处理等功能。这些功能需要通过FPGA的逻辑电路来实现。根据CAN协议的规范,可以使用状态机设计来描述控制器的不同工作状态,并通过逻辑电路来实现状态转换和相关功能逻辑。 在软件开发方面,需要编写控制器的驱动程序。驱动程序可以通过FPGA的配置界面进行编程,与硬件部分进行通信,控制CAN消息的发送和接收。驱动程序还需提供CAN消息的解析和打包的功能,以及与其他设备(如处理器或外部存储器)进行数据传输的接口。 在实现CAN通信协议时,还需要考虑到数据的实时性和可靠性。数据的实时性是指在CAN总线上传输的数据时序要符合CAN协议要求,以确保数据的及时性和准确性。数据的可靠性则是指要进行错误检测和纠正,以防止数据传输过程中的错误。 总之,FPGA实现CAN通信协议涉及硬件和软件两个方面的设计和开发工作。通过将CAN协议的控制器部分实现FPGA中,以及编写相关的驱动程序,可以实现高性能、可靠的CAN通信功能。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Include everything

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值