数字IC设计入门案例P01——时钟分频(四分频)

入门数字IC必会的小案例——时钟分频

​
module div_clk_4(
	input wire clk,
	input wire rst,
	output reg clk_4
	);

reg [1:0] clk_cnt;

always @(posedge clk) begin
	if (rst == 1'b1) begin
		clk_cnt <= 2'd0;
	end
	else if (clk_cnt == 2'd3) begin
		clk_cnt <= 2'd0;
	end
	else begin
		clk_cnt <= clk_cnt + 1'b1;
	end
end

always @(posedge clk) begin
	if (rst == 1'b1) begin
		clk_4 <= 1'b0;
	end
	else if (clk_cnt == 2'd1) begin
		clk_4 <= 1'b1;
	end
	else if (clk_cnt == 2'd3) begin
		clk_4 <= 1'b0;
	end
end

endmodule

​

代码原理:

① 输入CLK,rst(高电平有效)

② 在每个时钟上升沿计数,计数器名为clk_cnt,计数周期0,1,2,3;

③ 当计数器计数达到1,四分频时钟变成1,当计数器计数达到3,四分频时钟为0。

testbench代码如下:

`timescale 1ns/1ns

module tb_div_clk_4();

reg clk,rst;

wire clk_4;

initial begin
	clk = 0;
	rst = 1;
	# 100;
	rst = 0;
end

always #10 clk = ~clk;

div_clk_4 div_clk_4_inst(
	.clk(clk),
	.rst(rst),
	.clk_4(clk_4)
	);

endmodule

仿真波形:

 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值