Quartus II ( 18.1)使用时易犯错误(个人经验)

上FPGA实验课时,需要用到Quartus II软件,犯了不少错误,主要是仿真时出错误,这里和大家分享下:

错误1:

这是由于仿真前,没有设置好仿真器的位置导致的;在 Tools->Options->EDA Tools Options->  ModelSim-Altera中可以设置。

!!!对仿真器的设置时,注意在路径中最后有“\”

错误二:

一个工程里有多个文件时,仿真时需要设置好顶层文件并且编译无误才可进行;图中的错误是由于仿真的文件 不是顶层文件,出现了找不到其它文件中的输入输出端口的情况。

可以通过鼠标右键实现顶层文件的设定

设置完后,仿真前不要忘记编译!

当然,有的时候大家仿真不出来,可能是软件问题!!!Quartus II软件有的版本没有自带仿真器!大家可以查下自己软件对应版本有没有自带仿真器,或者在Tools->Options->EDA Tools Options的最后一栏  ModelSim-Altera  看看能不能找到文件位置,找不到一般是没有。

以上是我在使用过程中犯的错误,仅代表个人使用经验。

//我使用的软件是在官网上下载的,可以免费使用,但是不知道会不会是免费使用一个月?同学推荐的,其它的我也不清楚。

  • 2
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: Quartus II 18.1是一款FPGA设计软件,主要用于数字电路设计和验证。以下是使用教程: 1. 安装Quartus II 18.1软件,并打开软件。 2. 创建一个新的工程。在“File”菜单中选择“New Project Wizard”,按照向导提示设置工程名称、路径和FPGA器件型号等信息。 3. 添加设计文件。在“Project Navigator”窗口中,右键单击“Design Files”文件夹,选择“Add Files”,将设计文件添加到工程中。 4. 设置约束文件。在“Project Navigator”窗口中,右键单击“Constraints”文件夹,选择“Add/Remove Files”,将约束文件添加到工程中。 5. 进行编译。在“Processing”菜单中选择“Start Compilation”,等待编译完成。 6. 下载到FPGA器件。将FPGA器件连接到计算机,选择“Tools”菜单中的“Programmer”,设置下载方式和FPGA器件型号,点击“Start”按钮进行下载。 7. 进行仿真。在“Tools”菜单中选择“Signal Tap Logic Analyzer”,设置仿真参数,点击“Start”按钮进行仿真。 以上是Quartus II 18.1使用教程,希望对您有所帮助。 ### 回答2: Quartus II是美国Altera公司生产的一款FPGA设计软件,具有可靠的设计环境和优秀的仿真工具,非常适合数字电路的设计和实现。Quartus II的最新版本是18.1,本文将介绍其使用教程。 1. 下载和安装:首先,我们需要从官方网站下载Quartus II 18.1版本并进行安装。在安装过程中,可以选择安装Quartus II Web Edition(免费版)或Quartus II Standard Edition(收费版),推荐使用Web Edition。 2. 新建工程:运行Quartus II 18.1后,可以在菜单中选择“File”--“New Project Wizard”创建新工程。在创建工程时,需要选择工程名、目录、FPGA芯片型号等参数,并可以选择模板或单纯创建工程。 3. 添加文件:创建好工程后,可以添加设计文件、约束文件和仿真文件。设计文件可以使用VHDL或Verilog语言完成,约束文件是对设计的时序和约束等进行限定,仿真文件则是为了进行仿真验证。 4. 综合和编译:添加完文件后,需要进行综合和编译。综合是将设计文件转化为FPGA芯片可以识别的网表文件,编译是将网表文件映射到实际的FPGA芯片上。Quartus II 18.1提供了强大的综合和编译功能,可以在界面上进行配置。 5. 下载到FPGA芯片:在综合和编译完成后,可以使用下载器将设计代码下载到FPGA芯片中。Quartus II 18.1支持多种下载器,例如USB Blaster、JTAG等,可以根据需要选择。 6. 仿真和验证:最后,可以使用Quartus II 18.1提供的仿真工具对设计进行验证。Quartus II带有ModelSim仿真工具,可以进行波形仿真、函数仿真、时序仿真等多种仿真方式,以验证设计的正确性。 总之,Quartus II 18.1是非常优秀的FPGA设计软件,具有丰富的功能和易用的界面,非常适合数字电路的设计和实现。掌握了其使用教程,可以更加快速地进行FPGA设计和验证。 ### 回答3: Quartus II 18.1是由英特尔公司开发FPGA设计软件,这个软件使用广泛。下面,我将为您介绍使用Quartus II 18.1的指南。 首先,您需要安装Quartus II 18.1软件。在安装完成后,打开软件并创建一个新的工程。在新建项目对话框中选择工程名称、工程目录和顶部级别设计文件的名称。工程的类型必须选择FPGA工程。 接下来,您需要添加您的Verilog或VHDL设计文件。您可以在“文件”选项卡下找到“添加/移除文件”选项并添加您的文件。您需要将设计文件添加到工程中,以便Quartus II工具链可以生成您的逻辑设计的模拟器与合成器。 一旦您添加了设计文件,您就需要设置约束,以便Quartus II知道您的逻辑设计将如何连接到FPGA芯片上的硬件资源。这些约束包括时钟频率,IO引脚的配置和FPGA片内SRAM的使用等。您可以使用sdc文件配置此类约束,许多文档和示例可在Quartus II 18.1的官方文档中找到。 接下来,您需要运行合成器,并进行代码调试。您可以在“流程”选项卡下找到所需要执行的所有操作,例如合成,仿真,布局,打开还原,和编程。您可以使用“仿真工具”来模拟程序,以便检测任何错误,您还可以使用“调试工具”来分析您的设计,以确保设计的正确性。 最后,在您完成了所有的设置和调试之后,您可以将您的设计转换为比特流,以便对FPGA芯片进行编程。这可以通过使用“命令”选项卡中的“编程”工具来完成。您需要选择您的FPGA芯片的型号和连接接口(例如,到JTAG接口的USB转换器),然后将设计文件写入FPGA。 总的来说,在Quartus II 18.1中进行FPGA设计可以帮助您快速有效地实现您的设计目标。只要您按照上述步骤进行设置并调试,在设计您的FPGA芯片方面,Quartus II 18.1将是您的有力帮手。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值