LED点阵实验现象

27 篇文章 0 订阅
24 篇文章 0 订阅

#include <REGX52.H>
#include "intrins.h"

typedef unsigned char u8;
typedef unsigned int u16;
#define LEDDZ_COL_PORT P0

sbit SRCLK=P3^6;
sbit rCLK=P3^5; 
sbit SER=P3^4;
//点阵列控制数据

u8 gled_col[32]=
{
    //0x00,0x00,0x00,0x00,0x0F,0xC0,0x10,0x20,0x20,0x10,0x20,0x10,0x20,0x10,0x20,0x10,
    //0x20,0x10,0x20,0x10,0x20,0x10,0x20,0x10,0x10,0x20,0x0F,0xC0,0x00,0x00,0x00,0x00,
    
    0x08,0x20,0x04,0x40,0x7F,0xFC,0x04,0x40,0x24,0x48,0x14,0x50,0xFF,0xFE,0x00,0x00,
    0x1F,0xF0,0x10,0x10,0x10,0x10,0x1F,0xF0,0x10,0x10,0x10,0x10,0x1F,0xF0,0x10,0x10,   
}

//点阵行控制数据
u8 gled_row[32]=
{    
    0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
    0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80,
}

void delay_10us(u16 ten_us)
{
    while(ten_us--);
}

void hc595_write_date(u8 dat1,u8 dat2,u8 dat3,u8 dat4)
{
    u8 i=0;
    for(i=0;i<8;i++)
    {
        SER=dat4>>7;
        dat4<<=1;
        SRCLK=0;//移位寄存器
        _nop_ ;
        SRCLK=1;
        _nop_ ;
    }
    for(i=0;i<8;i++)
    {
        SER=dat3>>7;
        dat3<<=1;
        SRCLK=0;//移位寄存器
        _nop_ ;
        SRCLK=1;
        _nop_ ;
    }
    for(i=0;i<8;i++)
    {
        SER=dat2>>7;
        dat2<<=1;
        SRCLK=0;//移位寄存器
        _nop_ ;
        SRCLK=1;
        _nop_ ;
    }
    for(i=0;i<8;i++)
    {
        SER=dat1>>7;
        dat1<<=1;
        SRCLK=0;//移位寄存器
        _nop_ ;
        SRCLK=1;
        _nop_ ;
    }
    RCLK=0;//存储寄存器
    _nop_ ;
    RCLK=1;


void main()
{
    u8 i=0;
    
    while(1)
    {
            for(i=0;i<8;i++)
        {
            
            hc595_write_date(gled_row[i],gled_row[i+16],~gled_col[i*2],~gled_col[i*2+1]);
            delay_10us(10);
            hc595_write_date(0x00,0x00,0x00,0x00);//消隐
        }
    }
}
 

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值