计组2.4——加法器的设计

算术逻辑单元

在这里插入图片描述
控制信号:
当M=0时表示算术运算
当M=1时表示逻辑运算
S0~ S3表示做什么运算,因此ALU可以表示16种算数运算和16种逻辑运算
Ai,Bi代表输入两个4bit的数据,得到4bit的输出,机器字长代表计算机可以同时处理多少字长的bit运算,机器字长代表算术逻辑单元可以同时输入多少bit的信息,基本上寄存器的位数和ALU保持一致,也就是机器字长
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

异或门实现奇偶校验的原理

在这里插入图片描述
在这里插入图片描述

串行加法器&&并行加法器

在这里插入图片描述
在这里插入图片描述

在这里插入图片描述

并行加法器的优化

在这里插入图片描述

  1. 设计电路使每一位的进位几乎同时产生
  2. Gi为进位产生函数,如果Ai和Bi都是1,则会产生进位
  3. Pi的值影响到来自低位的值是否会往上传递
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    在这里插入图片描述
    如果只用一个74L181芯片,那么此时机器字长只有四位,只能同时进行4位整数运算,把多个74181串联,得到机器字长为16位的ALU
    串行加法器——>串行进位的并行加法器——>
    组内并行、组间串行进位的加法器——>组内并行、组间并行进位的加法器
  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值