Xilinx ISE系列教程(2):LED点灯工程、仿真、bit下载和mcs固化

本文是Xilinx ISE系列教程的第2篇文章。

上一篇文章,我们介绍了ISE 14.7的下载、安装和注册,在之后的文章,我们会以Xilinx Spartan-6系列FPGA XC6SLX16为例,不涉及FPGA开发知识,仅仅是工具的使用,介绍Xilinx ISE开发环境的使用教程和使用技巧。

本篇文章介绍如何使用ISE创建一个点灯工程,完成工程创建、设计输入、功能仿真、综合、管脚分配和程序下载固化,这也是FPGA的典型开发流程。

1. 创建工程目录

为了便于后续的工程文件管理,我们先创建led_demo文件夹,这也是我们的工程顶级目录,在此文件夹下再创建如下6个文件夹:

rtl:用于存放verilog rtl文件
tb:用于存放testbench文件
flash:用于存放生成的bit和mcs文件
ucf:用于存放管脚约束文件
mod
  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

whik1194

如果对你有帮助,欢迎打赏。谢谢

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值