自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(18)
  • 问答 (1)
  • 收藏
  • 关注

原创 基于SPI协议的Flash驱动控制-页写操作

基于SPI的页写操作,包括原理讲解和verilog代码实现

2022-11-17 17:27:39 702 1

原创 基于SPI协议的Flash驱动控制-数据普通读操作

基于SPI的Flash读数据,包括原理讲解和verilog代码实现

2022-11-17 10:17:39 346

原创 基于SPI协议的Flash驱动控制-扇区擦除

基于SPI的Flash扇区擦除,包括原理讲解和verilog代码实现

2022-11-16 10:20:34 1065

原创 基于SPI协议的Flash驱动控制-全擦除

基于SPI的Flash全擦除,包括原理讲解和verilog代码实现

2022-11-15 16:34:23 639

原创 IIC通讯协议

IIC通讯协议的物理层和协议层介绍,如何进行读写操作的介绍

2022-11-13 16:12:04 439

原创 SPI通讯协议

介绍SPI的管脚和SPI通信协议的时序擦除flash

2022-11-13 14:20:31 205

原创 FPGA时序约束与分析

首先介绍时序约束相关的基本概念,然后从时钟,建立时间和保持时间等概念入手,详细地阐述时序分析理论中的基本时序路径;随后对主时钟约束、虚拟时钟约束、时钟特性约束、衍生时钟约束、输入输出接口约束、多周期约束、虚假路径约束、最大最小延时约束等进行介绍

2022-10-07 23:06:09 4707 1

原创 CORDIC算法的matlab和FPGA实现

cordic算法:只用简单的移位、加减运算根据正余弦求角度,也可根据角度求正余弦,即求tan和arctan

2022-08-28 17:28:02 2193 4

原创 FIR数字滤波器的FPGA实现

直接型FIR滤波器原理介绍,采用串行结构、并行结构、分布式结构,以及直接使用器件提供的ip核分别进行代码实现以及仿真测试。

2022-08-11 17:53:31 5922 2

原创 原码,反码,补码,移码

原码,反码,补码,移码

2022-06-23 21:06:40 843

原创 根据六根数计算J2000坐标系下的直角坐标

有道云笔记链接如下有道云笔记https://note.youdao.com/s/5eX7EVZ2

2022-04-11 13:30:13 1110

原创 基于HAL库的stm32F7串口通信

串口相关的源码一般在SYSTEM分组之下的usart.c和usart.h中。下面我们讨论如何实现串口通信。初始化串口相关参数我们自定义函数uart_init来初始化串口相关参数,如波特率,字长,停止位,奇偶校验位,有无硬件流控,收发模式等,其中也会调用HAL库提供的函数HAL_UART_Init,不需要我们编写,此函数的作用的是初始化串口参数配置,uart_init具体函数代码如下 void uart_init(u32 bound){ //UART 初始化设置 UART1_Ha.

2022-04-11 13:21:44 728

原创 串口UART

FPGA中rs232的verilog代码编写

2022-04-09 13:59:34 2309

原创 HDLbits刷题中文完整版,按照刷题网站顺序每日更新一道

目录1 GettingStarted(开始)1.1 GettingStarted(开始)1.2 OutputZero(输出零点 )2 Verilog Language(开始)2.1 Basics2.1.1 Simple wire (简单电线)2.1.2 Four wires(四线)2.1.3 Inverter(逆变器)2.1.4 AND gate(和门)2.1.4 NOR gate(和门)1 GettingStarted(开始)1.1 ...

2022-03-27 11:28:52 19991 10

原创 WGS84坐标系转换到J2000坐标系

摘 要GPS接收机接收到的是WGS84坐标系下的直角坐标系,即协议地球坐标系。而J2000坐标系也称协议天球坐标系,所以WGS84坐标系与J2000坐标系的差别主要是由极移、格林尼治恒星时、章动、岁差引起,WGS84坐标系转换到J2000坐标系需要四步转换:协议地球坐标系—>瞬时地球坐标系—>瞬时真天球坐标系—>瞬时平天球坐标系—>协议天球坐标系,以下分别介绍每一步的具体转换。协议地球坐标系转至瞬时地球坐标系需要作极移修正;瞬时地球坐标系与瞬时真天球坐标系两者的差别仅是 X轴的

2022-03-26 19:34:59 6405 1

原创 捷联惯性导航原理

摘要按实现结构,惯性导航系统可分为平台惯导系统和捷联惯导系统两大类。平台惯导系统把加速度计放在实体导航平台上,导航平台由陀螺仪保持稳定跟踪当地的地理坐标系,加速度和角速度信息货都是直接由实体导航平台测出;而捷联式惯导是把加速度计和陀螺仪直接固连在载体上,实体导航平台的功能由计算机完成,有时被称为数学平台。二者除了有无实体导航平台的差别外,其他基本相同。这里我们将对捷联式惯导系统的设计与实现进行介绍。捷联惯导系统根据导航坐标系选取的不同主要有指北方位系统,自由方位系统和游动自由方位系统。若将导航坐标系

2022-03-26 19:33:18 5259 3

原创 C中的static、extern关键字

static1、块内声明的static变量在程序执行期间驻留在同一存储单元内。和每次程序离开所在块就会丢失值得自动变量auto不同,static变量会无期限地保留值2、块内得static变量只在程序执行前进行一次初始化,而auto变量则会在每次出现时都进行初始化(当然,假设他有初始化代码)。3、每次函数被递归调用时,它都会获得一组新的auto变量,但是如果函数含有static变量,那么此函数得全部调用都可以共享这个static变量。4、虽然函数不应该返回指向auto变量得指针,但是函数返回指

2022-01-07 13:07:58 401

原创 微分方程的数值解法—四阶龙格库塔(Runge-Kutta)的推导以及C代码实现

对于一阶常微分方程的解y = y(x),根据微分中值定理,存在一点使得步长用h表示,即h=x(i+1)-x(i),进一步有引入平均斜率K带入y(x(i+1))可表示为下面以二阶龙格库塔为例,在区间上取两点,以该两点的斜率值K1、K2的加权平均来求取平均斜率K的近似值K1为Xi点处的切线斜率值K2为X(i+1)点处的切线斜率值带入,y(x(i+1))又可表示为接下来将K1、K2在...

2021-09-23 12:35:16 19325 6

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除