SPL数据结构扩展

    SPL是php标准库,将一些比较实用的函数、类和接口以库的形式放在php内核中,《PHP高级程序设计:模式、框架与测试》一书中对spl的讲解已非常详细,里面对数据结构这一块没有涉及到。spl里实现了几种简单的线性表和树型结构,包括了双链表和双链表实现的队列和栈、最大堆、最小堆和优先队列。

    双链表对php开发程序来很重要的一种数据结构,可以把php数组中想想成一个双链表,而SplDoublyLinkedList通过实现迭代器、数组访问和获取数量的接口使程序访问对象跟访问数组一样方便。在一些大型的web程序中,可能会涉及到很多对象列表,可以通过双链表来保存对象,当然可以根据自己的业务需求可以继承双链表或者干脆自己实现某几个接口。

SplDoublyLinkedListimplements Iterator, ArrayAccess, Countable {

	/* 方法 */
	
	__construct ( void )
	
	mixed bottom ( void )
	 
	int count ( void )
	 
	mixed current ( void )
	 
	int getIteratorMode ( void )
	 
	bool isEmpty ( void )
	 
	mixed key ( void )
	 
	void next ( void )
	 
	bool offsetExists ( mixed $index )
	 
	mixed offsetGet ( mixed $index )
	 
	void offsetSet ( mixed $index , mixed $newval )
	 
	void offsetUnset ( mixed $index )
	 
	mixed pop ( void )
	 
	void prev ( void )
	 
	void push ( mixed $value )
	 
	void rewind ( void )
	 
	public string serialize ( void )
	 
	void setIteratorMode ( int $mode )
	 
	mixed shift ( void )
	 
	mixed top ( void )
	 
	public void unserialize ( string $serialized )
	 
	void unshift ( mixed $value )
	 
	bool valid ( void )
}


    优先队列也是非常实用的一种数据结构,可以通过加权对值进行排序,由于排序在php内部实现,业务代码中将精简不少而且更高效。通过SplPriorityQueue::setExtractFlags(int  $flag)设置提取方式可以提取数据(等同最大堆)、优先级、和两者都提取的方式。

SplPriorityQueueimplements Iterator, Countable {

	/* 方法 */
	
	__construct ( void )
	
	int compare ( mixed $priority1 , mixed $priority2 )
	 
	int count ( void )
	 
	mixed current ( void )
	 
	mixed extract ( void )
	 
	void insert ( mixed $value , mixed $priority )
	 
	bool isEmpty ( void )
	 
	mixed key ( void )
	 
	void next ( void )
	 
	void recoverFromCorruption ( void )
	 
	void rewind ( void )
	 
	void setExtractFlags ( int $flags )
	 
	mixed top ( void )
	 
	bool valid ( void )
}

$priorityQueue = new SplPriorityQueue();
$priorityQueue->insert("2", 9);
$priorityQueue->insert("2", 8);
$priorityQueue->insert("9",  1);
$priorityQueue->insert("3",  2);

$priorityQueue->setExtractFlags(SplPriorityQueue::EXTR_BOTH);

print_r($priorityQueue->extract());
print_r($priorityQueue->extract());
print_r($priorityQueue->extract());

Array
(
    [data] => 2
    [priority] => 9
)
Array
(
    [data] => 2
    [priority] => 8
)
Array
(
    [data] => 3
    [priority] => 2
)


  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值