2021-06-18 51蛋骗鸡实现流水灯累积点亮全亮后闪烁从头开始循环

缘由怎么在单片机上实现这个功能呢_有问必答-CSDN问答

#include "REG52.h"
sbit K1 = P1^5;
sbit K2 = P1^6;
sbit K3 = P1^7;
sbit K4 = P1^4;
//sbit LED1=P1^0;
//sbit LED2=P1^1;
//sbit LED3=P1^2;
//sbit LED4=P1^3;
bit k=1;
unsigned char code SmZiFu[]={63,6,91,79,102,109,125,7,127,111,128};//0-9.
unsigned char Js=0,miao=1,fen=0;//中断计时
void smxs(unsigned char mz,unsigned char w,unsigned char d)
{
	unsigned char Xd=0;
	P0=255;
	P0=255-(d==1?SmZiFu[mz]:(SmZiFu[mz]|0x80));//小数点闪烁
	P2=w;
	while(++Xd);
}
void JiShi(/*时间计算*/)
{
	if(Js>=10)
	{
		Js=0;
		if(!k)
		{
			if(++fen>=4){k=1;fen=0;}
		}
		else
		miao*=2;
	}
}
void ZhongDuanSheZhi()
{
	TH0+=0X4c;/*定时器赋初值,定时50ms触发中断,自动补偿方式*/
	TL0+=0X00; 
	TMOD=0X01;
	TR0=1; 
	ET0=1; //开启定时器
	EA=1; //全局中断开关
}
void main()
{
	unsigned char Xd=1,ss=0,jx=0;
	unsigned int wei=0;
	ZhongDuanSheZhi();
	while(1)
	{
//		if(K1==0&&++Xd==0){++miao;while(K1==0);Xd=200;}
//		if(K2==0&&++Xd==0){--miao;while(K2==0);Xd=200;}
//		if(K3==0&&++Xd==0){TR0=~TR0;while(K3==0);Xd=200;}
//		if(K4==0&&++Xd==0){TR0=0;miao=0;while(K4==0);Xd=200;}
//		smxs(miao/10%10,4,k?0:1);
//		smxs(miao%10,8,k?1:0);
		
		if(k)P3=ss+miao;else P3=(fen%2==0?0:255);
		if((!ss&&miao==128)||(miao==jx/2)){ss+=miao;jx=miao;miao=1;}
		if(ss>=255&&k){k=0;jx=ss=miao=0;}
		JiShi();//一定要放在最后
	}
}
void ZhongDuan() interrupt 1
{
	++Js;
	TH0+=0X4c;
	TL0+=0X00;        
}

### 回答1: 8个流水灯依次点亮全亮是指在PLC(可编程逻辑控制器)控制下,通过控制信号依次点亮8盏灯,直到所有的灯都亮起。 首先,我们需要在PLC程序中定义一个计时器和8个输出信号,分别对应每个流水灯的控制。 然后,我们需要编写逻辑控制程序来实现依次点亮8个流水灯。可以采用循环结构,通过计时器控制每个流水灯的亮灭时间。 具体步骤如下: 1. 初始化PLC的计时器和输出信号。 2. 设置一个循环结构,从0开始循环到7(共8次)。 3. 在每次循环中,使用一个变量来记录当前的流水灯序号,即当前需要点亮流水灯。 4. 使用输出信号控制当前流水灯点亮。 5. 设置一个延时,使当前流水灯点亮一段时间后熄灭。 6. 将变量加一,指向下一个流水灯。 7. 返回第二步,继续下一次循环,直到所有的流水灯点亮过一次。 通过以上的程序编写和设置,PLC会按照预定的顺序依次点亮8个流水灯,直到全亮。这个程序可以根据实际需求进行修改和扩展,例如可以设置不同的点亮时长、循环次数或是添加其他控制逻辑等。 ### 回答2: PLC是一种可编程逻辑控制器,可用于自动化控制系统中。要实现8个流水灯依次点亮全亮的功能,可以使用以下的PLC程序。 首先,我们需要定义8个输出点来控制每个流水灯点亮与熄灭。我们将这些输出点命名为Q1至Q8。 然后,我们可以使用一个计数器来记录当前点亮流水灯序号。我们将这个计数器命名为CNT,并设置其初始值为1。 接下来,我们需要编写一个循环程序,使得每隔一段时间,对应的流水灯点亮。在每一次循环中,我们可以使用一个CASE语句来判断当前计数器的值,然后对应控制相应的输出点。 例如,当计数器的值为1时,我们将Q1输出点置为ON,其他输出点置为OFF。当计数器的值为2时,我们将Q2输出点置为ON,其他输出点置为OFF,依此类推。 最后,我们需要在每个循环中将计数器的值加1,以便下一次循环点亮下一个流水灯。同时,当计数器的值大于8时,我们将其重置为1,以实现循环点亮的效果。 综上所述,以上就是一个简单的PLC程序来实现8个流水灯依次点亮全亮的步骤。通过对不同输出点的控制和计数器的运算,我们可以实现流水灯循环点亮效果。这样,当PLC运行该程序时,8个流水灯将会按照顺序依次点亮全亮。 ### 回答3: PLC是可编程逻辑控制器的缩写,它是一种广泛应用于工业控制系统中的自动化设备。在PLC中,可以通过编程实现不同设备之间的联动控制。 8个流水灯依次点亮全亮的过程可以通过以下步骤实现: 1. 首先,需要将8个流水灯与PLC的输出口相连接。这样,PLC就可以通过控制输出口的状态来点亮或熄灭流水灯。 2. 在PLC的编程软件中,我们可以设置一个循环计数器,用于控制灯的点亮顺序。设定一个变量i,初始值为0。 3. 接下来,我们使用一个循环语句(例如for循环)来控制流水灯点亮顺序。循环次数设置为8次,即循环8次后所有的灯都点亮。 4. 在每次循环中,首先将变量i的值加1,然后将该值对应的输出口状态设置为高电平(点亮)。这样,循环每执行一次,就会有一个灯点亮。 5. 当循环执行完毕后,所有的流水灯都会依次点亮。此时,PLC完成了流水灯的控制任务。 通过以上步骤,8个流水灯可以按照设定顺序依次点亮全亮。PLC的灵活编程能力使得这一过程可以快速、可靠地实现实现自动化控制的需求。这种智能化的流水灯控制方式,广泛应用在各个领域的自动化生产中,提高了生产效率和质量。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值