spinal scala学习记录

1 counter计数器

DUT 部分
模块1 : 用于生成verilog代码

package workshop.counter
import spinal.core._

//Run this main to generate the RTL
object CounterMain{
  def main(args: Array[String]) {
      SpinalConfig(targetDirectory = "rtl").generateVerilog(Counter(width = 4))
  }
}

counter 主程序:

package workshop.counter

import spinal.core._

case class Counter(width: Int) extends Component {
  val io = new Bundle {
    val clear    = in  Bool
    val value    = out UInt(width bits)
    val full     = out Bool
  }

  val counter = Reg(UInt(width bits)) init(0)
  counter := counter + 1
  if(io.clear == 1) {
    counter := 0
  }


  io.value := counter
  io.full := counter === U(counter.range->true)

 }

tb部分:

package workshop.counter

import org.scalatest.FunSuite
import spinal.core._
import spinal.core.sim._
import spinal.sim.Suspendable
import workshop.common.WorkshopSimConfig

import scala.sys.process._
import scala.util.Random

//Run this scala test to generate and check that your RTL work correctly
class CounterTester extends FunSuite {
  var compiled: SimCompiled[Counter] = null

  test("compile") {
    compiled = WorkshopSimConfig().compile(Counter(width = 4))
  }

  test("testbench") {
    compiled.doSim{dut =>
      dut.clockDomain.forkStimulus(10)
      var counter = 0
      Suspendable.repeat(100){
        dut.io.clear #= Random.nextDouble() < 0.1
        dut.clockDomain.waitSampling()
        assert(dut.io.value.toInt == counter, "dut.io.value missmatch")
        assert(dut.io.full.toBoolean == (counter == 15), "dut.io.full missmatch")
        counter = if(dut.io.clear.toBoolean) 0 else (counter + 1) & 0xF
      }
    }
  }
}


  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值