Verilog 数据位数

Verilog语言中,常用 位数 + ' + 进制 + 数字 的形式来表示一个数据,下面以1个十进制数为例,帮大家厘清一下Verilog的数据表示方法及其含义:

Verilog的 位数 表示的是 二进制位数,比如说,

4'd10,我们应该先把十进制的10转化成二进制的10,即d10 = b1010,然后再看位数,这里是4'd10,所以是4位,所以4'd10 = 4'b1010;

5'd10,先把十进制的10转化成二进制的10,即d10 = b1010,然后再看位数,这里是5'd10,所以是5位,高位补0,所以5'd10 = 5'b01010;

3'd10,先把十进制的10转化成二进制的10,即d10 = b1010,然后再看位数,这里是3'd10,所以是3位,从最低位开始取3位数,其余位抛弃掉,所以3'd10 = 3'b010。

  • 5
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值