基于FPGA的Sobel算法实现

本文介绍了如何在FPGA上实现Sobel图像处理算法,包括利用MATLAB生成图像数据,将其转换为320x256分辨率的灰度图片,并通过verilog读取数据。内容涉及图像转换、灰度化、TXT和MIF文件生成,以及verilog读取文本数据的方法。
摘要由CSDN通过智能技术生成

在基于FPGA的图像处理算法中,对于算法实现的验证有两种方法:

使用显示器
使用matlab
Matlab图像处理平台搭建
这个小标题起的有点大,其实跟图像算法没有什么关系,不过是利用MATLAB生成图像数据、使用verilog读入数据提供源数据、再使用MATLAB读入modelsim中产生的输出数据,最后显示。

基本思路是:

把一副图片转换为320x256分辨率的灰度图片,然后利用fprintf函数把图像矩阵写入txt文件。

这个m文件涉及到的函数都很简单,写的时候一遍看help,一遍运行着看结果对不对。MATLAB源码如下:

% /*-----------------------------------------------------------------------
% CONFIDENTIAL IN CONFIDENCE
% This confidential and proprietary software may be only used as authorized
% by a licensing agreement from zjl ().
% In the event of publication, the following notice is applicable:
% Copyright (C) 2013-20xx zjl Corporation
% The entire notice above must be reproduced on all authorized copies.
% Author                                :                zjl
% Technology blogs         :       
% Email Address              

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值