01 手把手带你做一套毕业设计-征程开启

63748e72dc314943857316f3b1f6a386.gif#pic_center

 本文是《Vue + SpringBoot前后端分离项目实战》专栏的开篇,文本将会包含我们创作这个专栏的初衷,专栏的主体内容,以及我们专栏的后续规划。关于这套毕业设计的作者呢前端部分由狗哥负责,服务端部分则由天哥操刀。我们力求毕业生或者新手通过学完本专栏,可以开心而自豪的对同学,老师和面试官说,自己从0到1有过实战项目经验。

35fe630ead21470890112c762bd33603.png

目录

 一、写专栏的初衷

1. 目前新手的痛点

2. 专栏初衷

3. 专栏的目标

二、专栏的介绍

1. 作者介绍

2. 专栏内容介绍

3. 专栏最终包含附件

三、专栏的后续更新

1. 目前项目进展

2. 后续更新规划

3. 源码部分


 一、写专栏的初衷

1. 目前新手的痛点

其实接触过很多毕业生,自己也毕业转眼好多年了,不堪回首啊。学校学的东西很多很杂,老师希望我们全部掌握或者掌握一部分,好让我们毕业后能够找到自己的一技之长。

但一直到现在,我发现很多问题随着时间的流逝却仍然没怎么改变。很多人上学学了很多课程,最后有点迷失,一无所获。有一些呢比较上进,知识点掌握了不少,但很多人存在一个问题,将知识点串联起来,从0到1做成一个项目还是很难的,不知道从何入手。又或者是对需求理解的不是那么透彻,不知道代码该如何写起。

转眼,很多人跟我说,狗哥,要毕业了,不想毕业。我说毕业了好,不用花父母的钱了,可以真正的开始用自己的money尽孝心了。但他们跟我说毕业设计老师留的需求就十来行,但不知道怎么做,很焦虑。

2. 专栏初衷

其实一旦做了开发,你会发现自己挺累的,而一旦你做了不仅仅只是开发的工作,那就更累了,甚至有人会平衡不出自己工作与生活的空间。我近期也写了一段时间博客了,有知识点的,有职场相关的,但找我说话的大多数还是毕业生或者职场新手,他们有自己的困惑,虽然在很多有经验的人来说这些困惑可能已经无关紧要,但对于弟弟妹妹们来说,这些困惑目前却是他们的一个很大的阻碍。

所以我就想,如果可以输出一个手把手,真实从0到1做一套项目的博客系列,应该是可以帮到很多人的,同时也是对自己掌握知识另一个维度的总结。

3. 专栏的目标

本专栏是希望从0到1实战一个项目,包括服务端和前端部分,希望透过实战项目的开展,不断拓展细节知识点。其实看过狗哥博客的兄弟们一定发现了,狗哥一直在强调业务场景,因为学开发还是为了找到一份工作挣工资,然后以工资为基点不断扩展自己去实现更多人生价值的嘛。

所以希望以这种实战和知识点相结合的的形式,让初学者可以跟着博客一点一点的从0到1搭建,推进,完成一个项目,用于自己的毕业设计,用于自己的简历扩展。当你跟着学一遍下来,希望你可以开心的告诉老师,同学,面试官,这就是自己一点一滴开发出来的,自己可以说出其中的细节,说出自己的思路扩展,说出自己今后的目标。

二、专栏的介绍

1. 作者介绍

记得六七年前我和天哥在公司一边开发一边带毕业生,兜兜转转岁月流逝那么快,但我们至少手头还保持着一定的本职工作。狗哥目前在狗厂担任高级前端开发工作,搞一搞开发,搞一搞性能优化,搞一搞PPT,搞一搞职场小内卷。天哥近几年也是不断精进着自己的内功,对设计模式、设计原则应用自如,对高性能、高并发、高可用有着丰富的实战经验,对微服务、DDD也是一一落地,同时也在搞内部培训,不知分享了多少源码框架。而几年的相识,让我们更加有默契,有信心搞好这个专栏的内容。

2. 专栏内容介绍

本专栏也是结合了历届毕业生和本届部分学校反馈的毕业设计需求,汇总出了一份比较更容易理解,需求功能更容易赋能多种多样毕业设计的项目。本专栏的每一小节都会包含需求,源码以及细致的讲解,就是希望你在学完后,不仅可以真正学会如何搞定自己的毕业设计,也是希望即便你所拿到的毕业设计需求与本专栏所述有所出入的时候,可以不费力气的自己去更改,相信你在做完后会发现,我们这一套项目与你的毕业设计核心功能是一致的,最多是一些小周边,标题,字段的不同。

本专栏项目前端采用学校要求同时也比较流行的vue2技术栈,服务端采用SpringBoot+Mybatis+MySQL当然,如果最终你发现跟着专栏学习太费劲,自己还有更加要紧的事情要做,也可以联系我和天哥要真正的项目源码。

3. 专栏最终包含附件

既然是一个项目,你要交给老师的,在除去源码之外必要的附件不能少。我们会提供需求明细、部署操作文档、接口文档、前后端架构设计,数据库设计等附件文档。这些东西做一个项目是必不可少的,不管是你的老师是否要这些内容,如果你今后准备从事软件项目开发工作,那么这些东西一定要学习并且掌握,想要走的更远,深厚的代码功底是一点,这些周边文档将是判断你代码功底有多深有多厚的一把尺子。

三、专栏的后续更新

1. 目前项目进展

目前项目代码开发已经进展了一半了,不仅仅包含基础的增删改查,录入,列表,详情,展示等,学校毕业设计所需要的周边功能也不能少,例如管理平台对于网站轮播图的控制,平台下发的热门项目,关于部分审批操作的管理,公告模块的详细内容,账号管理的部分等等,功能很齐全哦。

2. 后续更新规划

后续我和天哥也会不断根据同学们的反馈去丰富项目中的功能点,在持续迭代项目的同时,也会紧凑的根据项目进展输出博客文章,所以如果你有什么关于毕业设计项目的疑惑也可以和我们联系,又或者是你对今后职业发展有什么苦恼,我们都可以一起探讨。

3. 源码部分

其实我们还是希望你可以通过读博客,一步一步稳扎稳打跟着走,最终做完后有一个自己的思路,可以让自己深刻的明白,做项目前与做项目后,自己的专业技能得到了哪些提升,自己对于项目开发的整体认知得到了哪些改变,从而自己有个心理判断,自己毕业后更应该通过自己有限的人生如何去将自己无限的理想实现。所以,我不建议你直接索要源码。但你如果真的发现自己因为某些原因,就是得要这套源码,不要自己就会有所缺憾,可以联系我和天哥

预祝弟弟妹妹们顺利毕业,早些用自己的收入孝敬爸妈。

  • 89
    点赞
  • 79
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 143
    评论
### 回答1: 很高兴听到您对RISC-V处理器的设计感兴趣。以下是一些基本步骤,可以帮助您开始设计自己的RISC-V处理器: 1. 确定处理器的需求和规格:在设计处理器之前,您需要确定处理器的需求和规格。这包括处理器的性能、功耗、指令集等方面。 2. 学习RISC-V指令集架构:RISC-V是一种开放的指令集架构,您需要学习它的指令集和编码方式。您可以在RISC-V官方网站上找到相关的文档和教程。 3. 设计处理器的微架构:微架构是处理器的实现细节,包括指令流水线、寄存器文件、ALU等。您需要设计一个合适的微架构来实现RISC-V指令集。 4. 编写Verilog代码:Verilog是一种硬件描述语言,您需要使用Verilog编写处理器的代码。您可以使用Verilog模拟器来验证您的代码是否正确。 5. 进行综合和布局布线:综合是将Verilog代码转换为门级电路的过程,布局布线是将门级电路布置在芯片上的过程。您可以使用EDA工具来完成这些步骤。 6. 进行仿真和验证:最后,您需要对处理器进行仿真和验证,以确保它能够正确地执行RISC-V指令集。 希望这些步骤可以帮助您开始设计自己的RISC-V处理器。祝您好运! ### 回答2: 随着计算机技术的不断发展,处理器作为计算机的中央处理单元,一直处于不断更新和迭代的状态。在这个过程中,越来越多的人开始将目光投向自己动手设计处理器的领域,以提高对计算机结构的理解和掌握能力。而RISC-V处理器则成为了越来越受欢迎的处理器设计体系结构之一。下面,我们就来手把手教你设计RISC-V处理器。 首先,需要了解RISC-V处理器的体系结构和指令集,掌握其特点,以便更好地进行设计。RISC-V架构采用精简指令集(Reduced Instruction Set Computing,RISC)的思想,指令集清晰简单,易于扩展和实现,同时提供了不同的指令长度和地址宽度,满足多种应用场景的需求。 其次,需要明确设计RISC-V处理器的目的和需求。例如,设计一款高性能处理器,需要考虑运算速度、处理宽、低功耗等方面的需求,而设计一款嵌入式处理器,则需要考虑尺寸、功耗、集成度等方面的需求。在确定需求后,可以选择适合的设计方法和实现方式。 接着,需要进行设计和仿真。采用硬件描述语言(如Verilog或VHDL)进行设计,利用仿真软件进行仿真调试,逐步完善处理器的各项功能。需要注意的是,设计时需要清晰明确每一阶段的功能和相应的接口,保证设计的可扩展性。 最后,进行硬件实现和验证。将设计好的RTL电路转换为FPGA或ASIC中的物理实现,进行性能测试和功能验证,发布仿真测试结果和设计文档,确保设计能够满足预期的性能和功能要求,并能够进一步优化和升级。 在以上步骤中,需要掌握的知识包括计算机体系结构、数字电路设计、硬件描述语言的使用等。需要长期的学习和实践,才能够熟练掌握处理器设计的各个环节,并能够设计出具备高性能、低功耗、灵活可扩展等特点的处理器。 ### 回答3: RISC-V是一个由加州大学伯克利分校推出的开源指令集架构,它的设计理念是简化指令集,更加注重可扩展性、可定制性和易于实现。设计RISC-V处理器需要了解计算机体系结构以及数字电路原理,下面将手把手教你设计CPU。 第一步,需要确定处理器的架构。RISC-V处理器一般采用五级流水线结构,包括取指、译码、执行、访存和写回。在这个流水线结构中,每个阶段都有对应的功能,可以保证指令的按序执行。 第二步,需要确定指令集架构。RISC-V有基础指令集和标准扩展指令集,需要根据使用需求选择相应的扩展指令集并实现相应的操作。 第三步,需要进行处理器的逻辑设计。包括指令寄存器(IR)、程序计数器(PC)、指令存储器(IM)、寄存器堆、ALU(算数逻辑单元)、数据存储器(DM)等,这些模块通过总线相互连接构成处理器的基本结构。 第四步,需要进行数字电路的设计。处理器逻辑的实现需要用到器件和电路,需要根据设计的结构和功能实现相应的数字电路。 第五步,进行验证和调试。在设计完成后,需要进行仿真验证和调试工作,以保证设计的正确性和稳定性。 总的来说,设计RISC-V处理器需要掌握计算机体系结构、数字电路原理和基础编程知识,需要进行详细、全面的规划和设计设计过程中需要不断地验证和调整,确保设计的正确性和稳定性,最终完成一个高质量且符合需求的处理器设计
评论 143
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

经海路大白狗

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值