自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

xinshuwei的博客

IT技术学习交流

  • 博客(352)
  • 资源 (35)
  • 收藏
  • 关注

原创 [离散数学]图论

点相同 边相同 $$

2023-05-15 17:15:12 928

原创 [离散数学] 函数

A| = m (基数) ,|B|=n (m,n 不全为0)函数不一定存在逆函数。

2023-05-15 14:58:56 747

原创 [集合论]集合与二元关系

去除 自反性 < 3,3,> 去除传递 去掉的元素对图的层次没有影响(每个节点之间有传递性))等价关系 偏序关系 性质 运算 等价关系 重点。极大(小)元不唯一,则不存在 最大(元)

2023-05-15 12:40:39 1563 2

原创 [离散数学]命题逻辑与推理

常用推理规则—倒着看,推理整理过程P规则(前提引入)T规则(结论引入)

2023-05-14 23:37:33 1687

原创 [离散数学]谓词逻辑与推理演算

∀x条件前件加入→∃x和取式∧¬∀xPx⟺∃x¬Px¬∃xPx⟺∀x¬PxPxx会吃人Uxx是老虎∀xUx→Px))Pxx是大学生Uxx吸烟∃xPx∧Ux))Pxx是大学生Uxx会说英语∀xPx→Ux))Pxx自然数Uxx是素数∃xPx∧Ux))Sxx是大学生Xxx是歌星Lxyx喜欢y∀xSx→∃。

2023-05-14 22:00:22 1623

原创 Hal GPIO控制--LED/Delay实现

输出配置不进行分开,所有初始化都在main函数实现,方便后续工程配置变化,不用在keil 中进行文件添,然后点击右上角的生成,生成代码。这里以点PB8灯为例,可以设置灯输出电平 ,模式为输出,不进行上下拉, 速度 模式设置以及用户自定义名称。生成的 gpio配置在 main.c 中,此部分在main 函数中已经调用,不用在bsp中进行重新调用设置。如上图cubeMX 设置,系统时钟最大为64MHz,按us算,64 count 为1us,输入64即可。直接引用main.h 然后进行定义开关即可,比较方便。

2023-01-27 13:38:49 711

原创 keil bin elf 等格式自动转换

-bin 指定输出格式 其他格式参考帮助文档 ,一般常用bin hex elf ,也可以输出其他格式信息。option->output 内 Nameof Executable 用于指定输出文件名。注意输出文件及路径不能有空格,因为空格后,exe 会检测后续的输入文件,导致错误。user 内 在Afer Build /Rebuild 添加一行如下代码。fromelf.exe 即可 keil 会自动查找具体调用位置。支持输出 hex 及axf。后面跟axf输入文件即可。

2023-01-27 11:05:21 1273

原创 LCR TC1 测试仪

用于检测NPN PNP 晶体管 电阻 电容二极管 三极管 NMOS PMOS IGBT JFET 可控硅 红外波形 ,具有自校准功能。我手里的是TC-V2.12k版本红外检测方法 :红外遥控器对准接收口,然后按下发送 即可检测 检测出 usercode 和datacode。

2023-01-26 10:19:58 1540

原创 ESP32C3 iis 驱动PCM5102A

支持 BCK FS DATA 三线输入,基于内部PLL 生成SCK。输出最大2.1Vrms,负载1K欧。FS BCK无效时,进入省电模式。支持 16 24 32 bit。典型电路图如上,特性如下。44.1 kHz 去加重。支持1.8V 3.3V。

2023-01-23 22:47:12 3547 2

原创 合宙ESP32C3上手使用

经典款是有ch343 ttl 转usb 需要安装驱动GPIO20/21新款使用usb 直连不需要驱动ESP32C3 是ESP-RISC-V CPU 是基于 RISC-V ISA 的 32 位内核,包括基本整数 (I),乘法/除法 (M) 和压缩 (C) 标准扩展。ESP-RISC-V CPU 内核具有 4 级有序标量流水线,针对面积、功耗、性能等进行了优化.

2023-01-23 18:45:27 3783 3

原创 BLF 转换工具实现

【代码】BLF 转换工具实现。

2023-01-10 17:30:01 2207 2

原创 共阴七段数码管FPGA 实现

此处代码实现的是4-16译码器。

2022-12-15 07:02:39 2272

原创 Ymodem 文件传输协议

上位机 发送代码如下。

2022-12-08 20:51:57 603

原创 混响(Reverb)/空间音效(Panning)

可以理解为声音从右边喇叭经过n time step 移动到 左边的扬声器。我们假定LR 扬声器的夹角为2*,我们通过控制L R 扬声器的增益()进行具体的声像控制对应扫描角度X 为单声道的声音片段单声道转立体声音方法。

2022-11-12 09:31:36 1939

原创 python 使用gcc 生成 exe

3.生成.c 文件注释掉原来定义,使用下面方法。4.输入下面命令生成exe 文件。2.编写脚本输出 .c 文件。1. 编写原始t.py文件。

2022-11-11 14:11:06 488

原创 C++ C# dll 互相调用实现

C++/CLI中使用 gcnew 关键字用来表示 在托管堆上分配内存,并且区分其他指针的区别。4.unsigned char* 与cli::array^ 互转。指针或数组尽量使用ref 替代,输出参数需要使用ref。2.使用 ^ 来代替 * 作为指针含义。3.string 与uint32转换。生成C# 类库需要开启允许不安全代码。创建C++ 工程时需开启CLR支持。1.需要使用gcnew 代替new。5.指针是有intPtr 进行转换。verctor 互转。

2022-11-01 19:46:49 653

原创 倪海厦-针灸笔记

房事完、运动完、出血耳垂-耳朵眼针 可以进行解酒破伤风---》反向灸疱疹-》头尾烧 全蝎粉 外涂或者口服,薄的棉花烧灸单数 3 5 7 9 阳姜戳小洞防止有水泡,水泡沿皮肤平行刺破,防止有疤留下神阙-肚脐 寒症白色-辛辣入肺 --止咳毒蛇 蝎子 蜘蛛病进---》四肢到身体病退-》身体到四肢针勿灸 灸勿针针经为此常叮咛针灸并施 徒使患者炮烙刑左病右治 右病左治痛灸到不痛不痛灸到痛针人中人中分三段,斜上对脑部扎掐人中,大拇指往前推十宣放血(十个手指头尖 十个脚指头尖)

2022-10-24 15:36:23 7920 3

原创 python 画图

【代码】python 画图。

2022-10-20 00:48:54 402

原创 MAX78000FTHR 板卡入门

为快速开发平台,帮助工程师利用MAX78000 Arm® Cortex® M4F处理器快速实施超低功耗、人工智能(AI)方案,器件集成卷积神经网络加速器。评估板包括MAX20303 PMIC,用于电池和电源管理。评估板规格为0.9in x 2.6in、双排连接器,兼容Adafruit Feather Wing外设扩展板。评估板包括各种外设,例如CMOS VGA图像传感器、数字麦克风、低功耗立体声音频CODEC、1MB QSPI SRAM、micro SD存储卡连接器、RGB指示LED和按键。

2022-09-19 23:15:43 1398

原创 ESP32-A1S Audio kit 环境搭建

针对ESP32-A1S的音频开发板ESP32-Audio-Kit,之前就写了一边如何实现离线语音控制LED灯的博文,但是之前的博文中所用到的模组中的音频编解码芯片是AC101,需要我们自己写驱动程序。为了适配乐鑫的SDK,模组中的编解码芯片也换成了ES8388,乐鑫已经写好了驱动程序,我们二次开发不需要重新写编解码程序,这让我们的移植变得更加简单。

2022-09-18 23:56:45 1685 3

原创 Xmos startkit 开篇-硬件介绍

PCIE 管脚定义GPIO管脚定义J7J8 及XMOS link。

2022-09-15 22:05:24 316

原创 常见窗函数C 实现

在信号处理中,我们通常对信号进行截断分析,如果信号截断为非周期截断,那么频谱将发生泄露。通过加窗,可以减少频谱的泄露。我们可以这么想象,窗函数可以将一个非周期的信号,强行变成一个周期的信号。

2022-09-12 23:58:00 1635 2

原创 DSP 常规算法优化

【代码】DSP 常规算法优化。

2022-09-12 23:39:13 1135 1

原创 常规单位转换实现

例如扭矩 units::torque(1000.0, units::ft_lb);面积 units::area(1,units::cm2)简洁明了 直接使用即可。

2022-08-15 14:54:28 173

原创 FPGA IIC SLAVE 实现

bit cnt 计数或者dataphase转换。IOout 用于输出接收到数据。判断IIC 的开始或结束条件。指定从设备的节点地址。

2022-08-04 16:05:28 1192

原创 python 数的计算

让第二个数比第一个小 递归实现。

2022-08-04 15:45:41 358

原创 firefly rockchip 源代码同步方法

源代码地址T-Firefly · GitLab官网wiki 提供的都是百度网盘链接或者google 地址,可惜没有百度链接或者梯子。即使下载下来也会各种报错(rar 解压没问题,但是7zip 解压会各种报错),或者md5校验失败,这个崩溃呀,只能另找其它方法这里贴出来firefly 同步的代码可以使用repo 进行安装确保主目录下有一个 bin/ 目录,并且该目录包含在路径中:mkdir ~/binexport PATH=~/bin:$PATH如果可以访问 google 的地址,下载 Repo 工具,

2022-07-10 21:43:35 1038

原创 基于 Bresenham 算法画圆

#include <graphics.h>#include <conio.h>// 使用 Bresenham 画圆法void Circle_Bresenham(int x, int y, int r, int color){ int tx = 0, ty = r, d = 3 - 2 * r; while (tx <= ty) { // 利用圆的八分对称性画点 putpixel(x + tx, y + ty, color); putpixel(x.

2022-06-29 22:05:53 538

原创 Arduino Wire 库使用

2.写数据3.指定从设备寄存器读取数据

2022-06-19 18:32:20 2383

原创 Qt Creater 加快编译速度

参考https://doc.qt.io/qt-5/qmake-precompiledheaders.htmlhttps://doc.qt.io/qt-5/qmake-precompiledheaders.htmlhttps://doc.qt.io/qt-5/qmake-variable-reference.html#confighttps://doc.qt.io/qt-5/qmake-variable-reference.html#configpro 添加以下代码预编译头文件stable.h包含哪些内

2022-06-14 13:52:05 171

原创 PID 算法实现

PID 控制主要由 积分、微分、线性三个部分组成,三个部分产生效果都不一样下面使用三个例子进行算法的应用,脱离公式和计算1.驱动齿轮系马达主要在打印机的机械装置或其他精确位置控制装置中使用马达通过电压进行控制,电压通过软件进行设置,马达通过减速器连接实际控制的物品,实际转动的位置通过图中pot进行测量。在不受外界影响下,一个直流电机马达在恒定电压会以恒定速度进行运转,运转的速度跟电压成比例。通常电机电枢有一些电阻,限制了它的加速能力,所以电机在输入电压变化和速度变化之间会有一些延迟。

2022-05-13 22:12:04 727

原创 powershell 安装scoop 包管理

scoop是一个类似于linux下apt之类包管理器正常安装方法iex (new-object net.webclient).downloadstring('https://get.scoop.sh') //默认安装目录:C:\Users\<user>\scoop由于github下载访问有限,这里介绍使用离线方式1.使用idm下载ps1文件https://cdn.yulinyige.com/script/scoop-installs.ps12.修改ps1文件

2022-04-19 16:38:59 1339

原创 Qt 实现最近打开文档功能

1.先进行menu创建 auto recentMenu = new QMenu(); for(int i=0;i<MaxRecentFiles;i++) { recentFileActs[i] = new QAction(this); recentFileActs[i]->setVisible(false); recentMenu->addAction(recentFileActs[i]); c

2022-04-07 18:09:12 800

原创 Qt QtreeWidget 实现 tree节点拖拽移动

#include "qtmytreewidget.h"#include <qdebug.h>#include <QKeyEvent>#include <QMouseEvent>#include <QApplication>#include <qdrag.h>QtMyTreeWidget::QtMyTreeWidget(QWidget *parent) : QTreeWidget(parent){ m_CtrlPresse.

2022-03-23 14:55:30 3766 5

原创 Qlib库推荐

M3 M0 无硬件浮点加速器首选

2022-03-15 10:32:16 355 2

原创 Biquad 滤波器计算

基础iir 滤波器框图如上x h (n) = x(n) − a 1 x h (n − 1) − a 2 x h (n − 2) y(n) = b 0 x h (n) + b 1 x h (n − 1) + b 2 x h (n − 2) 1.第一种采用Robert Bristow-Johnson 的方法biquad的 传递函数定义为 b0 + b1*z^-1 + b2*z^-2 H(z) = ------------------------...

2022-03-14 23:19:00 4279 6

原创 mpy button 按键消抖

import timefrom machine import Pinclass button: def __init__(self, pin, callback=None, trigger=Pin.IRQ_RISING, min_ago=200): #print("button init") self.callback = callback self.min_ago = min_ago self._ne.

2022-02-24 15:20:23 438

原创 mpy lcd 绘制圆

1.实心def drawCirc_fill(tft,x,y,r,pen): ox =r oy =0 err = -r while ox>=oy: last_oy = oy err +=oy oy+=1 err+=oy tft.hline(x-ox,y+last_oy,ox*2+1,pen) ##3 if last_oy != 0: tft.hli

2022-02-24 13:28:04 2062

原创 2021-07-19交互设计精髓读后感

设计的目的1.理解用户的期望、需求、动机和使用意境2.理解商业、技术及行业的机会、需求和制约3.让产品的形式、内容、行为可用、易用用户界面应该是基于用户的心里模型,而不是实现模型目标导向的交互设计反应了用户的心里模型目标导向设计过程: 研究用户及应用领域--》建模用户及使用情景--》定义用户、业务及技术面需求-》定义设计架构和流程-》提炼行为、形式、内容-》支持开发阶段的要求。目标导向型问题:目标--哪些会让你愉快或者糟糕机会--哪些浪费你的时间.

2022-02-21 20:46:48 276

原创 常用音效处理实现

2022-02-21 20:45:51 333

zadig 2.9 版本,用于winusb libusb usb驱动切换,单界面比较方便

zadig 发布界面https://zadig.akeo.ie/#google_vignette Zadig is a Windows application that installs generic USB drivers, such as WinUSB, libusb-win32/libusb0.sys or libusbK, to help you access USB devices. It can be especially useful for cases where: you want to access a device using a libusb-based application you want to upgrade a generic USB driver you want to access a device using WinUSB 可以用libusb libusbk winusb 驱动切换

2024-09-18

esp82663.1.1 arduino 固件版本

esp82663.1.1 arduino 固件版本

2023-02-05

adi plutosdr 固件0.35版本,目前最新版本

adi plutosdr 固件0.35版本,目前最新版本,方便国内用户使用

2023-02-05

st-stm32cubeide 1.11.2 版本

st-stm32cubeide_1.11.2_14494_20230119_0724.unsigned_x86_64

2023-01-27

SCOPY 1.4.1 版本

从github下载下来的,方面大家下载 1

2023-01-23

安信可VB0.2 规格书

官网已经找不到,这里备注留用下,买了一些模块,待后续使用

2023-01-23

windows can blf 格式转换工具

windows can blf 格式转换工具,转换完成后生成csv 格式,可以用excel 或者notepad ++ 打开 ,方便进行debug 调试

2023-01-10

Maxim MicrosSdk

美信 SDK windows 打包程序,集成example 程序、集成Eclipse 开发环境 Maxim Micros Software Development Kit 安装程序

2022-09-19

Max78000FTHR debug bootloader程序,

源自github,方便国内无梯子使用,地址是 https://github.com/MaximInteg Updating the MAX32625PICO ("PICO") Debug Adapter Firmware 固件版本1.0.2

2022-09-19

英飞凌 aurixide AURIX Development Studio 1.7.2 版本

英飞凌 aurixide AURIX Development Studio 1.7.2 版本,官网下载下来的,方便大家使用,几十kb下的好心累

2022-08-30

scoop 安装包,方便国内用户安装

详细安装过程可参考 https://blog.csdn.net/xinshuwei/article/details/124277040。快速上手,才坑过来都是泪,嘻嘻嘻

2022-04-19

LDO-Selector-Guide-0721.pdf

microchip 针对 ldo 选型介绍的ppt 对于硬件ldo 选择有一定帮助

2021-11-29

scopy-v1.2.0-Windows-setup.exe

1.此版本需要ADALM2000更新到0.26版本以上(支持混合信号示图) Added Mixed signal view (see both analog and digital waveforms on the same plot in a synchronized fashion) LogicAnalyzer: Complete revamp PatternGenerator: Complete revamp Spectrum Analyzer: Added noise spectral density measurements 搬砖过来方便下载

2021-02-24

qt vs add in 最新版本2.6.0.7

qt vs的扩展插件,官网https://marketplace.visualstudio.com/items?itemName=TheQtCompany.QtVisualStudioTools2015,方便大家下载,我下了好久才下载下来

2020-11-26

qwt6.14 MSCV2015-32生成库

生成qwt6.1.4的dll 版本--2015-32 ,以及deigner 的插件dll,方便大家下载使用,使用方法--->加入lib

2020-11-23

VST2.4 打包代码

使用说明见https://blog.csdn.net/xinshuwei/article/details/109572238 方便大家工程使用

2020-11-09

Eigen-3.3.7源码

eigen最新版本 3.3.7 压缩包,支持矩阵运算等功能,方便大家下载,国内下载比较慢。gen非常方便矩阵操作,当然它的功能不止如此,由于本人只用到了它的矩阵相关操作,所以这里只给出了它的一些矩阵相关的简单用法,以方便快速入门。矩阵操作在算法研究过程中,非常重要,例如在图像处理中二维高斯拟合求取光斑中心时使用Eigen提供的矩阵算法,差不多十来行代码即可实现

2020-11-05

NOOBS_lite_v3_5.zip

树莓派操作系统引导程序,3.5版本,从官网下载过来,方便大家快速下载使用,自己后续留作备用版本,嘻嘻嘻嘻

2020-10-11

C2B V2.0.exe

c语言数组转bin文件,支持大小端、注释、等功能时先。原子的,自己用蛮好用的,推荐大家使用。留作备份

2020-10-11

alice-desktop-2.0-setup.exe

adi M2K 示波器上位机,简单版本 需要装libiio ,支持示波器、信号发生器、逻辑分析、电压表、电压输出等功能,使用起来比较方便

2020-04-01

scopy-v1.1.2-Windows-setup.exe

scopy adi M2K软件 从github下的 国内下载比较慢,分享给大家,版本V1.1.2.开源上位机工具 大家可以去github下载源码学习使用

2020-04-01

使用matlab进行深度学习.pdf

matlab官方中文进行深度学习指导说明书,讲述了整个搭建过程及基本的概念逻辑等,图文并茂,讲述的比较详细

2019-11-26

sylink uyility 4.2版本 固件 flash打包

stlink 下载驱动 4.20 最新版本 附加固件个flash烧写 可以自己制作stlink了

2019-03-29

how to listen 测音软件 训练金耳朵

软件为测试听音软件 可以自定义语音、训练自己的耳朵可以分辨音频的细微差别

2019-03-18

VS 添加函数注释片段

vs添加代码片段,在vs代码管理里面选择相应的语言,会出现代码片段地址,将该文件添加到相应文件夹

2019-02-20

jupyter notebook 教程

jupyter notebook 英文教程 很基础明了,介绍的比较详细

2019-01-24

中航LED控制板卡 UDP底层驱动实现

通过底层的UDP通讯,按照板卡的通讯协议要求实现实时的控制输出,方面学习交流

2018-11-15

励研LED cl300 UDP源码实现

利用励研的cl300板卡基于其通信协议实现UDP底层发送数据给控制器,在屏幕今夕并显示。

2018-11-15

基于sp++音频处理实现Vs2015工程

相关的介绍可以看我的博客,现把代码整体给出,方便大家进行学习交流 嘻嘻嘻

2018-11-15

Eigen 3 库文件及 unsupported 文件

Eigen C++运算库 被集成到TensorFlow里面,做矩阵运算效率比较高,方便大家进行嵌入式移植

2018-11-15

matlab xcorr 函数c语言实现

通过c语言实现matlab函数 xcorr的计算,比较全面 集成了unbiased biased cross 等运算函数

2018-11-15

matlab 机器学习深度学习介绍

介绍matlab如何实现机器学习及深度学习的过程,pdf内有连接的学习代码示例

2018-09-26

仰邦动态库

https://mp.csdn.net/postedit/82793120 有详细代码实现应用

2018-09-20

UDP 实现端到端的通讯,两者之间绑定IP 端口

绑定IP 和 客户端的 UDP 通讯 ,可以建两个相同的工程,互相对发(修改下绑定的IP 和端口) 详细介绍见https://mp.csdn.net/postedit/81352132

2018-08-02

C++ 生成日志文件

C++ 写日志实现的类。详细使用可以看https://blog.csdn.net/xinshuwei/article/details/81334657或者私聊我

2018-08-01

AC108寄存器配置软件 说明书

官网图形界面式寄存器配置,简单易上手,类似stm 32 cube操作。有相关的使用说明及芯片的技术手册供参考。现在麦克风阵列采集方案好多都用这个芯片,拿走不谢

2018-07-24

intel 机器学习第三课 测试集和数据集分割及验证方法实现

介绍的线性分割 交叉验证原理,最后代码实现建立cost function 进行训练

2018-04-27

intel 机器学习第二课 关于强化学习及KNN

intel 机器学习教程 第二课,关于KNN算法的强化学习实现

2018-04-27

ui一些素材网站

一些ui设计的素材资源网站供才考,拿去爬虫把 嘻嘻,很好的素材

2018-03-24

端到端学习在自动驾驶中的使用

介绍了端到端学习在自动驾驶中的应用和具体实现的介绍,有图有真相

2018-03-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除