- 博客(21)
- 资源 (35)
- 收藏
- 关注
原创 稀疏矩阵的c实现
//稀疏矩阵三元组顺序表存储表示#include <stdio.h>#include <stdlib.h>#define MAXSIZE 100 typedef int ElemType;typedef struct{ int i,j; // 行下标,列下标 ElemType e; // 非零元素值 }Triple; typedef str...
2018-10-31 13:58:58 1584 2
原创 Linux下编译 sp++库及实现过程
1.首先编写动态库的cpp源文件及头文件2.编译生成so文件g++ vpr.cpp -fPIC -shared -o libvpr.so -lm-lm 添加math相关函数的支持3.编写可执行文件g++ main.cpp -o main -L ./ -lcac -L 是库文件的地址 -l后面跟的是so文件名去掉lib(例如上面那个 就是libcac.o文件)...
2018-10-29 15:30:42 995
原创 FPGA实现0.96 oled驱动
module OledDriver ( input [27:0]data,input clk_in, //clk_in = 25mhzinput rst_n_in, //rst_n_in, active lowoutput reg run_flag,output reg oled_rst_n_out, //nokia5110 reset, active lowoutput r...
2018-10-28 20:20:22 4708 28
原创 FPGA 实现按键消抖
module debounce(clk,rst_n,key_n,key_pulse,key_state); input clk; //system clockinput rst_n; //system resetinput key_n; //button inputoutput key_pulse; //Debounce pulse out...
2018-10-28 20:16:03 799
原创 FPGA SPI 从模式
module spi_recevier(input clk,input rst,input spi_cs,input spi_sck,input spi_mosi,output reg[7:0]rxd_data,output reg rxd_flag );reg spi_cs_r0;reg spi_cs_r1;reg spi_sck_r0;reg spi_sck_r1;...
2018-10-28 20:14:45 3020 7
原创 FPGA 基于边沿检测实现旋转编码器底层驱动
module pmodenc( clk, rst_n, A, B, BTN, //LED, rotary_event, rotary_right_pos, rotary_left_pos, //BTN_State //BTN_Debounce BTN_neg); input clk,rst_n; input A,B; input BTN; //outpu...
2018-10-28 20:13:17 1586 1
原创 FPGA 实现边沿检测
reg [1:0] signal_r; //----------------------------- // always @(posedge clk or negedge rst_n)begin if(rst_n == 1'b0)begin signal_r <= 2'b00; end else begin ...
2018-10-28 20:11:34 1345
原创 FPGA 二进制转bcd码
//这是一个使用Verilog HDL编写的带使能端的8-bit二进制转BCD码程序,具有占用资源少、移植性好、扩展方便的特点。 module bcd(rst_n,binary,bcd); //rst_n为使能端,binary为待转换的二进制数,bcd为转换后的BCD码 parameter B_SIZE=12; //B_SIZE为二进制数所占的位数,可根据需要进行扩展...
2018-10-28 19:15:47 3482 5
原创 FPGA 信号发生器
1锯齿波module juchi(input clk,input rst_n,input [11:0]adr,output reg [9:0]q);always@(posedge clk or negedge rst_n) if(!rst_n) q<=0; else q<=adr[11:2]; endmodule 2.三角波mod...
2018-10-28 19:13:45 7250
原创 算法
算法特性1.有穷性 在可接受时间内完成2.确定性 只有确定性的执行路径。3.可行性 每一步骤都是执行有限次数完成4.输入输出 算法设计要求1.正确性2.可读性3.健壮性4.高效率低存储量算法复杂度...
2018-10-22 13:11:38 89
原创 基于sp++ 实现matlab cov 函数
// 向量的方差Type cov(Vector<Type> x){ Type x_mean = sum(x) / x.size(); Type x_std = sum(pow(x - x_mean, (Type)2)) / (x.size() - 1); return x_std;}//两向量的协方差Matrix<Type>cov_Matrix(Vecto...
2018-10-19 17:24:59 486
原创 基于 sp++ 简单的声纹比对
//声纹识别Type nonfilter_speccor(Type*x1, Type*x2, int length, int fs){ Matrix<Type>Cn1 = mfcc_m(x1, length, fs,24 ,1024, 512); Cn1 = mapminmax(Cn1, -1, 1); Matrix<Type>Cn2 = mfcc_m(x2, ...
2018-10-19 17:08:44 1791 1
原创 基于sp++ 实现matlab corr corrcoef函数 计算皮尔逊相关系数
参考概念https://blog.csdn.net/crcr/article/details/58594432?utm_source=blogxgwz0用最简单的公式4可以实现下面代码。//皮尔逊相关系数计算Type myPearson(Vector<Type>x, Vector<Type>y){ Type A = sum(x*y) - (sum(x...
2018-10-19 11:27:11 1225
原创 基于sp++实现 [ 0 1 ]归一化 [-1 1] 归一化(matlab mapminmax 函数的实现)
matlab中归一的算法:y =(ymax-ymin)*(x-xmin)/(xmax-xmin)+ ymin; 简化到[0 1]即为y =(x-min(x))/(max(x)-min(x));基于SP ++实现过程如下: //归一化函数 x 输入向量 ymin 输出最小值 ymax 输出最大值Vector<Type>mapminmax(Vector<Ty...
2018-10-19 09:52:35 1912
原创 基于sp++ matlab mfcc 特征提取 c /c ++ 实现
//mel 滤波器函数//x 输入序列 fs采样率 p滤波器个数 framesize 帧大小 inc 帧移//提取mel滤波器参数用汉明窗函数Matrix<Type> mfcc_m(double *x, int length,int fs, int p, int framesize, int inc){ Matrix<Type> bank = melban...
2018-10-18 20:51:03 453
原创 基于SP++ mel滤波器组实现
//win_type =0 w=='n' 汉宁窗 win_type =1 w=='m'汉明窗Matrix<Type> melbankm(Type p, Type n, Type fs, Type fl, Type fh, int win_type){ Vector<Type>mflh(2); mflh(1) = fl*fs; mflh(2) = fh*f...
2018-10-17 14:35:25 767
原创 基于SP++ mel频率倒谱系数
感知频率和实际频率转换函数Vector<Type>frq2mel(Vector<Type> frq){ Type k = 1127.01048; Vector<Type> af(frq.size(), abs(frq)); Vector<Type> mel(frq.size(), sign(frq)*log((Typ...
2018-10-17 13:28:05 260
原创 基于sp++ matlab sign 符合函数实现
sign(整数)=1; sign(负数)=-1; sign(零)=0; 向量版Vector<Type>sign(Vector<Type> a){ Vector<Type> p(a.size()); for (int i = 0; i < a.size(); i++) { if (a[i] > 0)...
2018-10-17 13:25:41 494
原创 C ++ 实现字符串转GB2312 16进制数组
void togb(uint8_t *s, uint8_t*data,int &datalength){ int length = 0; while (*s) { if (*s >= 0x7f) { *data = *s; *(data + 1) = *(s + 1); data...
2018-10-10 16:42:46 899
zadig 2.9 版本,用于winusb libusb usb驱动切换,单界面比较方便
2024-09-18
windows can blf 格式转换工具
2023-01-10
Maxim MicrosSdk
2022-09-19
Max78000FTHR debug bootloader程序,
2022-09-19
英飞凌 aurixide AURIX Development Studio 1.7.2 版本
2022-08-30
scoop 安装包,方便国内用户安装
2022-04-19
scopy-v1.2.0-Windows-setup.exe
2021-02-24
qt vs add in 最新版本2.6.0.7
2020-11-26
qwt6.14 MSCV2015-32生成库
2020-11-23
Eigen-3.3.7源码
2020-11-05
alice-desktop-2.0-setup.exe
2020-04-01
scopy-v1.1.2-Windows-setup.exe
2020-04-01
UDP 实现端到端的通讯,两者之间绑定IP 端口
2018-08-02
C++ 生成日志文件
2018-08-01
AC108寄存器配置软件 说明书
2018-07-24
空空如也
TA创建的收藏夹 TA关注的收藏夹
TA关注的人