CRC原理以及PFGA的实现

1、CRC的概述及原理

    CRC即Cyclic Redundancy Check,循环冗余校验,是一种数字通信中的常用信道编码技术。其特征是信息段和校验字段的长度可以任意选定。

    CRC码是由两部分组成的,前部分是信息码,就是需要校验的信息,后部分是校验码,如果CRC码长共n bit,信息码长k bit,就称为(n,k)码,剩余的r bit即为校验位。如:(7,3)码:110 1001,前三位110为信息码,1001为校验码。

        >>  循环冗余校验码(CRC)的基本原理是:在 K位信息码后再拼接R位的校验码,整个编码长度为N位,因此,这种编码也叫(N,K)码。对于一个给定的(N,K)码,可以证明 存在一个最高次幂为N-K=R的多项式G(x)。

        >> 根据G(x)可以生成(N-K)位信息的校验码,而G(x)叫做这个CRC码的生成多项式。 校验码的具体生成过程为:假设要发送的信息用多项式C(X)表示,将C(x)左移R位(可表示成C(x)*2 R),这样C(x)的右边就会空出R位,这就是校验码的位置。 用 C(x)*2R 除以生成多项式G(x)得到的余数就是校验码。任意一个由二进制位串组成的代码都可以和一个系数仅为‘0’和‘1’取值的多项式一一对应。例如:代码1010111对应的 多项式为x 6+x 4+x 2+x+1。

2、关于生成的多项式G(x)

    为了表示方便,用二进制数进行表示,因此二进制数表示为生成多项式的系数,如下: 1,0001,0000,0010,0001 = X16 + X12 + X5 + 1;

    是接受方和发送方的 一个约定,也就是一个二进制数,在整个传输过程中,这个数始终保持不变。在发送方,利用生成多项式对 信息多项式做 模2除生成校验码。 在接收方利用生成多项式对 收到的编码多项式做模2除检测和确定错误位置。

应满足以下条件:

A、 生成多项式的最高位和最低位必须为1;

B、当被传送信息(CRC码)任何一位发生错误时,被生成多项式做除后应该使余数不为0;

C、不同位发生错误时,应该使余数不同;

D、对 余数继续做除,应使余数循环。

        

    3、FPGA实现CRC

    CRC8   的多项式:  x8 + x2 + x + 1,下面是运算的的示意图。

3.1 FPGA实现的思路

    第一种方法:在FPGA的实现过程中可以采串行的实现方式。如果用时序电路串行实现,则8 bit数据要移位8次,就需要8个clk,效率低下。

    第二中方法:在FPGA内部采用并行的实现方法,也就是说为了能在一个时钟周期输出结果,必须采用组合电路,当然,这是以空间换时间的方法,由于使用了for循环8次,直观的讲电路规模将扩大8倍。

3.2 FPGA实现的额参考代码

module CRC_GEN(
    input            rst,     /*async reset,active low*/
    input            clk,     /*clock input*/
    input     [7:0]  data_in, /*parallel data input pins */
    input            d_valid, /* data valid,start to generate CRC, active high*/
    output reg[15:0] crc
);

integer i;
reg feedback;
reg [15:0] crc_tmp;
/*
*  sequential process
*/
always @(posedge clk or negedge rst)
begin
    if(!rst) 
        crc <= 16'b0;          
    else if(d_valid==1'b0)
        crc <= 16'b0;
    else
        crc <= crc_tmp;
end


always@( data_in or crc)
begin
    crc_tmp = crc;
    for(i=7; i>=0; i=i-1)
    begin
        feedback    = crc_tmp[15] ^ data_in[i];
        crc_tmp[15]  = crc_tmp[14];
        crc_tmp[14]  = crc_tmp[13];
        crc_tmp[13]  = crc_tmp[12];
        crc_tmp[12]  = crc_tmp[11] ^ feedback;
        crc_tmp[11]  = crc_tmp[10] ;
        crc_tmp[10]  = crc_tmp[9];
        crc_tmp[9]   = crc_tmp[8];
        crc_tmp[8]   = crc_tmp[7];
        crc_tmp[7]   = crc_tmp[6];
        crc_tmp[6]   = crc_tmp[5];
        crc_tmp[5]   = crc_tmp[4] ^ feedback;
        crc_tmp[4]   = crc_tmp[3];
        crc_tmp[3]   = crc_tmp[2];
        crc_tmp[2]   = crc_tmp[1];
        crc_tmp[1]   = crc_tmp[0];
        crc_tmp[0]   = feedback;
     end
end

endmodule

4、参考的资料

    软件自动生成硬件代码:http://outputlogic.com/?page_id=321

    CRC在线计算:http://www.ip33.com/crc.html

    https://blog.csdn.net/limanjihe/article/details/52387301

    

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值