自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(43)
  • 收藏
  • 关注

原创 【CAN总线】从数字设计的角度分析CAN协议2—CAN帧格式

产生报文的单元被称为报文的“发送器”。此单元保持作为报文发送器直到总线出现空闲或此单元失去仲裁为止。

2023-11-12 19:46:26 185

原创 【CAN总线】从数字设计的角度分析CAN协议1—CAN概述

CAN(Controller Area Network):串行通信总线,异步半双工通信。在当前的汽车产业中,出于对安全性、舒适性、方便性、低公害、低成本的要求,各种各样的电子控制系统被开发了出来。由于这些系统之间通信所用的数据类型及对可靠性的要求不尽相同,由多条总线构成的情况很多,线束的数量也随之增加。为适应“减少线束的数量”、“通过多个LAN,进行大量数据的高速通信”的需要,1986 年德国电气商博世公司开发出面向汽车的CAN 通信协议。

2023-11-10 00:03:53 287

原创 【效率提升-Perl脚本】根据Verilog文件自动生成tb文件

在数字IC设计过程中,根据顶层生成testbench时存在很多重复性工作,因此为了提高工作效率,特地开发此脚本。

2023-08-10 22:54:50 470

原创 【效率提升—Python脚本】根据Verilog文件自动生成tb文件

在数字IC设计过程中,根据顶层生成testbench时存在很多重复性工作,因此为了提高工作效率,特地开发此脚本。

2023-08-09 22:24:42 422

原创 【CDC】跨时钟域处理方法总结一

异步时序就是一个设计中有多个时钟,每个时钟的源头不一样,即:多个时钟之间无确定性关系,称为异步时序。

2023-07-31 23:21:13 938

原创 【AHB-Lite总线】hreadyin和hreadyout的区别

AHB-Lite总线协议请查看首先看下hreadyin和hreadyout在系统中的位置从图中可以看到,每个AHB从设备都有一个HREADY输出信号(hreadyout),它连接到多路复用器。该多路复用器的输出是AHB主机看到的全局hready信号,该信号也作为hreadyin反馈给所有的从机。

2023-07-31 23:06:23 780

原创 【低功耗】数字IC之低功耗设计

【低功耗】数字IC之低功耗设计

2023-06-30 20:55:23 298

原创 【DC综合】综合基础知识

【DC综合】综合基础知识

2023-06-08 22:50:23 5099

原创 【Python】基本语法二——列表/元组/字典和条件循环

【Python】基本语法一——字符串

2023-06-03 23:13:25 276

原创 【Python】基本语法一——字符串

python基本语法一

2023-05-29 23:11:15 119

原创 【效率提升—Perl脚本】根据Excel列表信息提取输入输出接口生成Verilog文件

根据Excel列表信息提取输入输出接口生成Verilog文件

2023-05-27 23:24:32 104

原创 【SVA】SVA基础知识

【SVA】SVA基础知识

2023-05-14 22:16:16 1669 1

原创 【Verilog编程】generate for、generate if、generate case的用法

【Verilog编程】generate for、generate if、generate case的用法

2023-04-23 23:41:31 1246

原创 【Verilog编程】格雷码与二进制的互转

【Verilog编程】格雷码与二进制的互转

2023-04-09 22:31:01 1144

原创 【Verilog编程】线性反馈移位寄存器(LFSR)原理及Verilog代码实现

线性反馈移位寄存器(LFSR)原理及Verilog代码实现

2023-04-08 22:57:25 4651 2

原创 【Verilog编程】小数分频,占空比非50%

【Verilog编程】小数分频,占空比非50%

2023-04-02 22:51:54 391

原创 【电路原理】斜坡发生器(RAMP generator)的补偿原理

斜坡发生器(RAMP generator)的补偿原理

2023-03-15 23:43:35 3580

原创 【Verilog编程】定点数的数据饱和与截位

定点数的数据饱和与截位

2023-03-12 23:36:40 1635 1

原创 【AMBA】AHB-Lite总线协议

AHB-Lite总线协议

2023-03-11 16:03:25 1620

原创 【VIM】《VIM实用技巧》总结

VIM实用技巧

2023-02-12 14:30:16 674

原创 SPI详解——原理及Verilog实现

SPI原理及Verilog实现

2023-01-11 23:56:32 6088

原创 Verilog实现二进制乘除法器

Verilog实现二进制乘除法

2022-12-23 23:30:50 2916 2

原创 I2C总线详解——硬件结构/时序/挂死解决方法

I2C总线详解——硬件架构/时序/挂死

2022-11-22 00:20:16 2980

原创 CentOS7安装VCS&Verdi

CentOS7安装VCS&Verdi

2022-11-06 23:07:17 2004

原创 奇数分频,占空比50%

奇数分频,占空比50%

2022-11-06 22:43:11 182

原创 Verilog实现异步FIFO&异步FIFO常见问题集锦

FIFO之Verilog实现

2022-08-30 23:58:38 1350

原创 UART设计3-发送器与接收器联合仿真

UART设计3-发送器与接收器联合仿真

2022-08-21 23:12:10 218

原创 UART设计2——接收器:串转并

UART设计2——接收器:串转并

2022-08-21 23:03:15 428

原创 UART设计1——发送器:并转串

UART发送器设计

2022-08-19 23:53:05 553

原创 带en的计数器从0开始计数-verilog

verilog-计数器从零开始计数

2022-06-21 20:09:59 699

原创 Verilog——将单脉冲宽度信号变为双脉冲宽度信号(扩宽脉冲宽度)

扩宽脉冲信号

2022-06-01 21:51:59 1359

原创 perl脚本语言- grep/map

文章目录一、基本语法1.grep2.map二、练习题目一、基本语法1.grepgrep有筛选的功能,两种语法模式1.gerp 测试模式,@test_list2.gerp {测试模式} @test_list2.mapmap转化形式,两种语法模式1.map 转化模式,@test_list2.map {转化模式},@test_list二、练习题目输入文件依次为:one.txt:abctwo.txt:bbbbbbbbbbbbbbbbcccccccccccccccccccv

2022-04-26 19:03:29 314

原创 tcl脚本——产生指定范围的随机数

set rand_0_1 [expr rand()]; #产生0-1之间的随机数set max 10set min 0#产生指定范围的随机数,范围为$min-$maxset Frand_min_max [expr $rand_0_1*($max-$min)+$min];#产生0*10之间的随机浮点数puts $Frand_min_max;set Irand_min_max [expr int($Frand_min_max)];#产生0-10之间的随机整数puts $Irand_min_max;

2022-04-22 11:46:28 1717

原创 批量操作之删除文件固定行(perl)

删除文件指定行对大量文件进行操作use strict;#my $dir_to_process="D:\\perl\\oneex";print "please enter your path(Absolute path):\n";#要进行操作的文件夹chomp(my $dir_to_process=<>);my $del_lane_min=3; #需要删除行的下届my $del_lane_max=9; #需要删除行的上届opendir DH,$dir_to_process or

2022-04-19 19:14:03 1259

原创 perl基本语法五

文章目录一、自增自减二、条件语句与循环语句1.条件语句2.循环语句3.语句修饰词4.循环控制三、逻辑操作符与“定义否”操作符1.逻辑操作符2.短路操作3.定义否//四、文件测试五、时间函数六、目录和目录句柄1.目录操作函数2.目录句柄3.文件操作1.glob函数2.删除文件unlink3.文件重命名rename七、字符串函数1.index函数2.rindex函数3.substr函数4.sprintf函数5.字符串排序6.哈希排序八、智能匹配~练习题目1.誊写单词2.使用perl语言统计文本单词总数3.找出指

2022-04-19 11:18:06 781

原创 perl基本语法四-正则表达式

文章目录1.模式匹配2.反向引用3.常用符号4.锚位5.绑定操作符~6.模式串中的内插7.捕获变量1.捕获变量()2.只分组不捕获(?:)3.捕获变量命名(?<>)4.反向引用\g<>或\k<>8.正则表达式的优先级9.用s///进行匹配1.全局替换s///g2.替换中使用的符号正则表达式的特殊变量练习题目1.模式测试程序2.数字的正则表达式1.模式匹配使用/ /进行正则匹配正则表达式符号含义元字符.匹配除换行符(\n)的任意一个字符量词

2022-04-14 20:18:10 2204

原创 perl基本语法三

文章目录

2022-04-09 18:31:52 736

原创 python学习1

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档文章目录一、计算机二、使用步骤1.引入库2.读入数据总结一、计算机二、使用步骤1.引入库代码如下(示例):import numpy as npimport pandas as pdimport matplotlib.pyplot as pltimport seaborn as snsimport warningswarnings.filterwarnings('ignore')import sslssl._c.

2022-04-06 17:58:17 700

原创 数字IC面试一

数字IC面试一

2022-01-19 00:30:45 1033

原创 两道简单的Perl编程题

两道简单的perl编程题

2022-01-15 13:06:23 414

【效率提升-Perl脚本】根据Excel列表信息提取输入输出接口生成Verilog文件

根据Excel列表信息提取输入输出接口生成Verilog文件

2023-05-27

AMBA3 AHB-Lite总线协议

AMBA3 AHB-Lite总线协议

2023-03-11

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除