FPGA(主)与STM32(从)SPI通信

一、概述

        主要实现了FPGA向STM32快速发送数据(比较稳定),至于STM32发送过来的数据,大概率还是处于丢位状态。但因为我主要是要完成一个FPGA这边持续向STM32的发送,所以我也没仔细去研究如何实现双方通信更稳定(同时本人能力也有限)。

        至于SPI的通信时序,有四种模式,我选择的是空闲状态为高电平,采集在上升沿的一种模式。具体的时序可以参考其他博客,我自己的理解(以我选择的这种模式)就是在sck为低电平的时候寄存数据,然后一直保持数据到下一次sck为低电平。获取数据的话在sck拉高的瞬间,也就是sck的上升沿获取数据。

        STM32端我使用的是SPI5加DMA的方式来进行数据的收发。

        下面贴出FPGA和STM32的代码


二、FPGA端代码

spi主模式

module spi_master(
	input clk_1m,					//时钟信号 1m
	input rst_n,					//按键复位信号
	input ena_mo,					//模块使能
	input [7:0]spi_tdata,		//spi要发送的数据
	input spi_miso,				//spi 主进从出
	output reg spi_mosi,			//spi 从进主出
	output reg spi_sck,			//spi 时钟信号
	output reg spi_nss,			//spi 片选信号
	output reg [7:0]spi_rdata,	//spi接收到的数据
	output tr_done					//spi 完成一个字节发送
);

localparam waiten=0;				//等待模块使能
localparam tx_revl=1;			//sck低电平
localparam tx_revh=2;			//sck高电平
localparam done=3;				//完成一次发送
localparam DELAY = 5;			//完成时等待5个时钟开始下一次发送

//reg define			
reg [3:0] us_cnt;					//us计数器
reg us_cnt_clr;					//计数器清零信号
reg[1:0] state,next_state;		//当前状态和下一个状态
reg[3:0] w_cnt;					//位计数器

//1微秒计数器
always @ (posedge clk_1m,negedge rst_n) begin
    if (!rst_n)
        us_cnt <= 21'd0;
    else if (us_cnt_clr)
        us_cnt <= 21'd0;
    else 
        us_cnt <= us_cnt + 1'b1;
end 

//下一个状态确认
always @(*) begin
	if(!rst_n)
		next_state = waiten;
	case(state)
		//模块使能且计数达到延时则进入下一个状态
		waiten: next_state = (ena_mo&&us_cnt==DELAY) ? tx_revl : waiten;
		tx_revl: next_state = tx_revh;
		tx_revh: next_state = (w_cnt == 4'd8) ? done : tx_revl;
		done: next_state = (ena_mo&&us_cnt==DELAY) ? tx_revl : waiten;
	endcase
end

//状态的各变量赋值
always @(posedge clk_1m,negedge rst_n)begin
	if(!rst_n)begin
		spi_sck <= 1'b1;												//sck空闲状态为高电平
		spi_mosi <= 1'b0;
		spi_rdata <= 8'd0;
		us_cnt_clr <= 1'b1;
		spi_nss <= 1'b1;
	end
	else begin
		case(state)
			waiten:begin
				spi_sck <= 1'b1;
				spi_mosi <= 1'b0;
				us_cnt_clr <= 1'b0;
				spi_nss <= us_cnt > 3'd3 ? 1'b0 : 1'b1;		//nss信号在等待时提前拉低 选中 做好发送准备
			end
			
			tx_revl:begin
				us_cnt_clr <= 1'b1;		
				spi_mosi <= spi_tdata[3'd7-w_cnt];				//sck低电平时寄存数据
				spi_sck <= 1'b0;										//sck拉低
			end
			
			tx_revh:begin
				spi_sck <= 1'b1;										//sck拉高 数据值保持不变
				spi_rdata[3'd7-(w_cnt-1'b1)] <= spi_miso;		//在上升沿捕获数据值
			end
			
			done:begin
				us_cnt_clr <= 1'b0;									//发送完成 时钟计数器关闭复位,延时等待一下
				spi_sck <= 1'b1;
				spi_mosi <= 1'b0;
				spi_nss <= us_cnt > 3'd3 ? 1'b0 : 1'b1;		//nss信号在等待时提前拉低 选中 做好发送准备
			end
			
		endcase
	end
end

//状态转换
always @(posedge clk_1m,negedge rst_n)begin
	if(!rst_n)
		state <= waiten;
	else
		state <= next_state;
end

//位计数器赋值
always @(posedge clk_1m,negedge rst_n)begin
	if(!rst_n)begin
		w_cnt <= 4'd0;
	end
	else begin
		case(state)
			tx_revl:w_cnt <= w_cnt + 1'b1;//sck低电平时位计数器加1,所以高电平时就会多一个值,需要减1
			waiten: w_cnt <= 4'd0;
			done: w_cnt <= 4'd0;
			default: w_cnt <= w_cnt;
		endcase
	end
end

//完成信号输出赋值
assign tr_done = (state == done);

endmodule

testbench仿真代码


`timescale 1ns/1ns //仿真单位为1ns,精度为1ns

module spi_master_tb();
	reg clk_1m;
	reg rst_n;
	reg ena_mo;
	reg [7:0] spi_tdata;
	reg spi_miso;
	wire spi_mosi;
	wire spi_sck;
	wire spi_nss;
	wire [7:0] spi_rdata;
	
	spi_master u_spi_master(
		.clk_1m(clk_1m),
		.rst_n(rst_n),
		.ena_mo(ena_mo),
		.spi_tdata(spi_tdata),
		.spi_miso(spi_miso),
		.spi_mosi(spi_mosi),
		.spi_sck(spi_sck),
		.spi_nss(spi_nss),
		.spi_rdata(spi_rdata)
	);
	
	initial begin
		#0	clk_1m = 0;
			rst_n = 0;
			ena_mo = 1;
			spi_tdata = 8'b11001010;
			spi_miso = 0;
			
		#20 rst_n = 1;
		
	end
	
	always #5 clk_1m = ~clk_1m;

	always #32 spi_miso = ~spi_miso;
	
endmodule

仿真结果


 顶层模块

module oscilloscope(
	input sys_clk,				//系统时钟 50m
	input rst_n,				//按键复位
	input spi_miso,			//spi 主进从出信号
	output spi_mosi,			//spi 主出从进信号
	output spi_sck,			//spi 时钟信号
	output spi_nss				//spi 片选信号(使用这个信号可以提高传输的稳定性)
);

wire [7:0] spi_rdata;		//spi读取到的数据
wire [7:0] spi_tdata;		//spi要发送的数据
wire tr_done;					//一个字节发送完成信号
wire clk_1m;					//1M 时钟信号

reg [7:0] tx_data;			//给要发送的数据赋值

assign spi_tdata = tx_data;//要发送的数据赋值

always @(posedge clk_1m,negedge rst_n)begin
	if(!rst_n)
		tx_data <= 8'd0;
	else begin
		tx_data <= tr_done ? tx_data + 1'b1 : tx_data;//每发送完成一个字节 数据的值增加1
	end
end

//时钟分频模块 产生1MHz时钟
clk_fenpin u_clk_fenpin(
	.sys_clk(sys_clk),
	.rst_n(rst_n),
	.clk_1m(clk_1m)
);

//spi 主模式模块
spi_master u_spi_master(
	.clk_1m(clk_1m),
	.rst_n(rst_n),
	.ena_mo(1'b1),
	.spi_tdata(tx_data),
	.spi_miso(spi_miso),
	.spi_mosi(spi_mosi),
	.spi_sck(spi_sck),
	.spi_nss(spi_nss),
	.spi_rdata(spi_rdata),
	.tr_done(tr_done)
);

endmodule

时钟分频模块

module clk_fenpin(
	input sys_clk,
	input rst_n,
	output reg clk_1m
);

	reg    [25:0]   clk_cnt     ;        //分频计数器
	//得到1Mhz分频时钟
	always @ (posedge sys_clk or negedge rst_n) begin
		 if (!rst_n) begin
			  clk_cnt <= 5'd0;
			  clk_1m  <= 1'b0;
		 end 
		 else if (clk_cnt < 26'd24) 
			  clk_cnt <= clk_cnt + 1'b1;       
		 else begin
			  clk_cnt <= 5'd0;
			  clk_1m  <= ~ clk_1m;
		 end 
	end

endmodule

三、STM32断配置

        32的配置主要是NSS信号不能再由软件来控制,不然接收的数据很不稳定,每一次复位都会看到不一样的值,可能是因为32端对起始信号的判断不是很对,所以导致总是,丢位。虽然也有概率正确接收,但是极其不稳定,所以将NSS信号交由硬件控制。FPGA作为主机,在每次将要发送前,先将NSS信号拉低,选中32,然后发送完成一个字节后又将NSS信号拉高,这样传输的数据会稳定很多。

spi配置

u8 spi_revdata[spirv_max_len];    //接收数据数组

SPI_HandleTypeDef SPI5_Handler;   //SPI句柄

u8 tx = 15;                        //发送的数据

//以下是SPI模块的初始化代码,配置成主机模式 						  
//SPI口初始化
//这里针是对SPI5的初始化
void SPI5_Init(void)
{
    SPI5_Handler.Instance=SPI5;                         //SP5
    SPI5_Handler.Init.Mode=SPI_MODE_SLAVE;             	//设置SPI工作模式,设置为从模式
    SPI5_Handler.Init.Direction=SPI_DIRECTION_2LINES;   //设置SPI单向或者双向的数据模式:SPI设置为双线模式
    SPI5_Handler.Init.DataSize=SPI_DATASIZE_8BIT;       //设置SPI的数据大小:SPI发送接收8位帧结构
    SPI5_Handler.Init.CLKPolarity=SPI_POLARITY_HIGH;    //串行同步时钟的空闲状态为高电平
    SPI5_Handler.Init.CLKPhase=SPI_PHASE_2EDGE;         //串行同步时钟的第二个跳变沿(上升或下降)数据被采样
    SPI5_Handler.Init.NSS=SPI_NSS_HARD_INPUT;                 //NSS信号由硬件(NSS管脚)还是软件(使用SSI位)管理 选择硬件管理
    SPI5_Handler.Init.BaudRatePrescaler=SPI_BAUDRATEPRESCALER_4;//定义波特率预分频的值:波特率预分频值为4
    SPI5_Handler.Init.FirstBit=SPI_FIRSTBIT_MSB;        //指定数据传输从MSB位还是LSB位开始:数据传输从MSB位开始
    SPI5_Handler.Init.TIMode=SPI_TIMODE_DISABLE;        //关闭TI模式
    SPI5_Handler.Init.CRCCalculation=SPI_CRCCALCULATION_DISABLE;//关闭硬件CRC校验
    SPI5_Handler.Init.CRCPolynomial=7;                  //CRC值计算的多项式
    HAL_SPI_Init(&SPI5_Handler);//初始化
    
    __HAL_SPI_ENABLE(&SPI5_Handler);                    //使能SPI5
	
	DMA2_Init();                                        //DMA初始化
	
	
	                                                    //开启SPI的DMA传输 1024个字节
	HAL_SPI_TransmitReceive_DMA(&SPI5_Handler,&tx,spi_revdata,spirv_max_len);
	
    //发送和接收如果同时使用的话最好使用上面那个函数,不然开启发送,再开接收可能导致没有开启成功
//	HAL_SPI_Transmit_DMA(&SPI5_Handler,&tx,1024);
//	HAL_SPI_Receive_DMA(&SPI5_Handler,spi_revdata,spirv_max_len);
}

//SPI5底层驱动,时钟使能,引脚配置
//此函数会被HAL_SPI_Init()调用
//hspi:SPI句柄
void HAL_SPI_MspInit(SPI_HandleTypeDef *hspi)
{
    GPIO_InitTypeDef GPIO_Initure;
    
    __HAL_RCC_GPIOF_CLK_ENABLE();                   //使能GPIOF时钟
    __HAL_RCC_SPI5_CLK_ENABLE();                    //使能SPI5时钟
    
    //PF6,7,8,9
    GPIO_Initure.Pin=GPIO_PIN_6|GPIO_PIN_7|GPIO_PIN_8|GPIO_PIN_9;
    GPIO_Initure.Mode=GPIO_MODE_AF_PP;              //复用推挽输出
    GPIO_Initure.Pull=GPIO_NOPULL;                  //上拉
    GPIO_Initure.Speed=GPIO_SPEED_FAST;             //快速            
    GPIO_Initure.Alternate=GPIO_AF5_SPI5;           //复用为SPI5
    HAL_GPIO_Init(GPIOF,&GPIO_Initure);
}

dma配置


DMA_HandleTypeDef DMA_SPI5TX_Handler;									//SPI5 发送DMA句柄
DMA_HandleTypeDef DMA_SPI5RX_Handler;									//SPI5 接收DMA句柄

void DMA2_Init(void)
{
	__HAL_RCC_DMA2_CLK_ENABLE();                                        //使能DMA2的时钟
	
	DMA_SPI5RX_Handler.Instance = DMA2_Stream3;							//数据流选择
    DMA_SPI5RX_Handler.Init.Channel = DMA_CHANNEL_2;					//通道选择
    DMA_SPI5RX_Handler.Init.Direction = DMA_PERIPH_TO_MEMORY;			//外设到存储器
    DMA_SPI5RX_Handler.Init.PeriphInc = DMA_PINC_DISABLE;				//外设地址非增量模式
    DMA_SPI5RX_Handler.Init.MemInc = DMA_MINC_ENABLE;					//存储器地址增量模式
    DMA_SPI5RX_Handler.Init.PeriphDataAlignment = DMA_PDATAALIGN_BYTE;	//外设数据长度:8位
    DMA_SPI5RX_Handler.Init.MemDataAlignment = DMA_MDATAALIGN_BYTE;		//存储器数据长度:8位
    DMA_SPI5RX_Handler.Init.Mode = DMA_CIRCULAR;						//DMA循环模式 一次开启 一直搬运
    DMA_SPI5RX_Handler.Init.Priority = DMA_PRIORITY_HIGH;				//高优先级
    DMA_SPI5RX_Handler.Init.FIFOMode = DMA_FIFOMODE_DISABLE;			//不使用FIFO模式
	
	HAL_DMA_DeInit(&DMA_SPI5RX_Handler);								//清除配置
	HAL_DMA_Init(&DMA_SPI5RX_Handler);									//初始化配置
	
	__HAL_LINKDMA(&SPI5_Handler,hdmarx,DMA_SPI5RX_Handler);				//将SPI的发送和DMA联系起来
	
	
	DMA_SPI5TX_Handler.Instance = DMA2_Stream4;							//数据流选择
    DMA_SPI5TX_Handler.Init.Channel = DMA_CHANNEL_2;                    //通道选择
    DMA_SPI5TX_Handler.Init.Direction = DMA_MEMORY_TO_PERIPH;           //存储器到外设
    DMA_SPI5TX_Handler.Init.PeriphInc = DMA_PINC_DISABLE;               //外设地址非增量模式
    DMA_SPI5TX_Handler.Init.MemInc = DMA_MINC_DISABLE;                  //存储器地址非增量模式
    DMA_SPI5TX_Handler.Init.PeriphDataAlignment = DMA_PDATAALIGN_BYTE;  //外设数据长度:8位
    DMA_SPI5TX_Handler.Init.MemDataAlignment = DMA_MDATAALIGN_BYTE;     //存储器数据长度:8位
    DMA_SPI5TX_Handler.Init.Mode = DMA_CIRCULAR;                        //DMA循环模式 一次开启 一直搬运
    DMA_SPI5TX_Handler.Init.Priority = DMA_PRIORITY_MEDIUM;             //中等优先级
    DMA_SPI5TX_Handler.Init.FIFOMode = DMA_FIFOMODE_DISABLE;            //不使用FIFO模式
	                                                                    
	HAL_DMA_DeInit(&DMA_SPI5TX_Handler);                                //清除配置
	HAL_DMA_Init(&DMA_SPI5TX_Handler);                                  //初始化配置
	                                                                    
	__HAL_LINKDMA(&SPI5_Handler,hdmatx,DMA_SPI5TX_Handler);             //将SPI的发送和DMA联系起来
}

四、实现效果

        stm32接收到的数据,打印的是接收数组中的值(此时的发送频率应该在几十KHz左右,更高的没有测试过,但是改改时钟分频看看就好)

        FPGA收到的数据非常不稳定,很小概率会出现正确的值,就不贴图了

  • 18
    点赞
  • 97
    收藏
    觉得还不错? 一键收藏
  • 10
    评论
FPGA(现场可编程门阵列)和STM32是两种不同的芯片。FPGA是一种可编程逻辑器件,它可以按照用户需求重新配置其逻辑电路,适用于复杂的数字电路设计。而STM32是一种微控制器,它包含了一个处理器核心以及丰富的外设,适用于嵌入式系统设计。 SPI(串行外设接口)是一种常用的串行通信协议,可以用于连接多个设备,实现设备之间的数据传输。FPGASTM32都支持SPI通信,可以通过相应的硬件接口和软件配置实现。 在使用FPGA实现SPI通信时,我们可以通过编写Verilog或VHDL代码来定义FPGA中的SPI接口,配置FPGA的引脚和时钟,并实现发送和接收数据的逻辑。FPGA可以使用其可编程逻辑电路来处理SPI通信协议的各个部分,例如时序、数据格式和校验等。通过适当的配置和连接,我们可以将FPGA与其他SPI设备(如传感器、存储器或其他嵌入式设备)进行通信。 而在STM32中实现SPI通信,我们可以使用STM32的内置SPI外设来实现。首先,我们需要配置SPI外设的相关寄存器,包括时钟速率、数据格式和模式等。然后,使用STM32的GPIO外设来配置相关引脚,使其与SPI外设连接。最后,通过编写适当的软件代码,实现SPI数据的发送和接收。这些代码可以使用STM32的相关库函数或直接操作寄存器来实现。通过配置和连接,我们可以将STM32与其他SPI设备进行通信,实现数据的传输和交互。 无论是通过FPGA还是STM32实现SPI通信,我们都需要仔细了解SPI协议的要求和特性,充分利用相应的硬件资源和软件工具,以确保通信的准确性和可靠性。同时,还要根据具体的应用需求和系统设计,选择合适的芯片和外设,进行相应的配置和编程。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 10
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值