Tiny4412裸机程序之UART收发数据

出自:http://www.techbulo.com/1555.html

Exynos4412 UART 的特性

Exynos4412 中UART,有4 个独立的通道,每个通道都可以工作于中断模式或DMA 模式,即 UART 可以发出中断或 DMA 请求以便在UART 、CPU 间传输数据。UART 由波特率发生器、发送器、接收器和控制逻辑组成。

使用系统时钟时,Exynos4412 的 UART 波特率可以达到 4Mbps 。波特率可以通过编程进行 。

Exynos4412 UART 的通道 0有 256 字节的发送 FIFO 和 256 字节的接收FIFO ;通道 1、4有 64 字节的发送 FIFO 和 64 字节的接收FIFO;通道 2、3有 16 字节的发送FIFO 和 16 字节 的接收 FIFO 。发送数据时, CPU 先将数据写入发送FIFO 中,然后 UART 会自动将FIFO 中的数据复制到“发送移位器” (Transmit Shifter )中,发送移位器将数据一位一位地发送到 TxDn 数据线上 (根据设定的格式,插入开始位 、较验和停止)。接收数据时,“移位器” (Receive Shifter )将 RxDn 数据线上的数据一位一位的接收进来,然后复制到FIFO 中, CPU即可从中读取数据。

Exynos4412 UART的每个通道支持停止位有 1位、 2位,数据位有 5、6、7或 8位,支持校验功能,另外还有红外发送 /接收功能。

Exynos4412 UART结构图

exynos4412  uart

exynos4412 uart

声明

以后没有特殊说明,程序结构都和《Tiny4412裸机程序之代码在DDR SDRAM中运行》时的一样。

整个程序的运行过程大致如下:系统上电后,首先将sd卡扇区1处的bl1拷贝到IRAM的0x02020000地址处,然后运行该部分代码,该部分代码首先又会加载BL2.bin,BL2.bin会进行时钟和DRAM初始化,然后把位于sd卡中扇区49处的main.bin拷贝到DRAM的0x43E00000地址处,最后跳转到该地址处继续运行。

uart初始化步骤:

1.将所涉及的UART通道管脚设为UART功能

比如 UART 通道 0中, GPA0_0 、GPA0_1 分别用作 RXD0 、TXD0,要使用 UART 通道 0时,先设置 GPA0CON 寄存器将 GPA0_0 、GPA0_1 引脚的功能设为 RXD0 、TXD0 。

2. 选择UART的时钟源

uart clock

uart clock

Exynos4412 UART的时钟源有八种选择: XXTI 、XusbXTI 、SCLK_HDMI24M 、SCLK_USBPHY0 、 SCLK_HDMIPHY 、SCLKMPLL_USER_T 、SCLKEPLL 、SCLKVPLL ,由 CLK_SRC_PERIL0 寄存器控制。
选择好时钟源后,还可以通过 DIVUART0 ~4设置分频系数 设置分频系数 ,由 CLK_DIV_PERIL0 寄存器控制。 从分频器得到的时钟被称为SCLK UART 。

SCLK UART 经过上图中的“ UCLK Generator”后,得到UCLK ,它的频率就是UART 的波特率。“ Generator UCLK Generator ”通过这 2个寄存器来设置: UBRDEVn 、UFRACVALn (在下面描述 在下面描述 )。

uarto_sel

CLK_SEC_PERIL0格式

CLK_DIV_PERIL0的寄存器格式

CLK_DIV_PERIL0的寄存器格式

3. 设置波特率:UBRDIVn寄存器(UART BAUD RATE DIVISOR)、UFRACVALn寄存器

根据给定的波特率、所选择时钟源频率,可以通过以下公式计算 UBRDIVn 寄存器 (n 为 0~4,对应 5个 UART 通道 )的值。

UBRDIVn = (int)( UART clock / ( buad rate x 16) ) – 1

上式计算出来的 UBRDIVn 寄存器值不一定是整数, UBRDIVn 寄存器取其整数部分,小部分由 UFRACVALn 寄存器设置, UFRACVALn 寄存器的引入,使产生波特率更加精确。

例如,当UART clock为100MHz时,要求波特率为115200 bps,则:

100000000/(115200 x 16) – 1 = 54.25 – 1 = 53.25

UBRDIVn = 整数部分 = 53

UFRACVALn/16 = 小数部分 = 0.25

UFRACVALn = 4

4. 设置传输格式:ULCONn寄存器(UART LINE CONTROL)

ULCONn 寄存器 (n 为 0~4) 格式如下图所示:

ULCONn寄存器格式

ULCONn寄存器格式

5. 设置UART工作模式:UCONn寄存器(UART CONTROL)

UCONn寄存器格式

UCONn寄存器格式

UCONn寄存器格式

UCONn寄存器格式

6. UFCONn寄存器(UART FIFO CONTROL)、UFSTATn寄存器(UART FIFO STATUS)

UFCON n寄存器用于设置是否使用FIFO,设置各 FIFO的触发阀值,即发送 FIFO中有多少个数据时产生中断、接收 FIFO 中有多少个数据时产生中断。并可以通过设置UFCON n寄存器来复位各个 FIFO 。

读取 UFSTAT n寄存器可以知道各个 FIFO 是否已经满、其中有多少个数据。

不使用 FIFO 时,可以认为 FIFO 的深度为1,使用 FIFO 时 Exynos4412 的 FIFO 深度最高可达到256 。

7. UMCONn寄存器(UART MODEM CONTROL)、UMSTATn寄存器(UART MODEM STATUS)

这两类寄存器用于流量控制,里不介绍。

8. UTRSTATn寄存器(UART TX/RX STATUS)

UTRSTAT n寄存器用来表明数据是否已经发送完毕、是否已经接收到数据,格式如下表所示,下面说的“缓冲区”,其实就是下图中的 FIFO ,不使用 FIFO 功能时可以认为其深度为 1。

UTRSTATn寄存器格式

UTRSTATn寄存器格式

9. UERSTATn寄存器(UART ERROR STATUS)

用来表示各种错误是否发生,位 [0] 至位 [3] 为 1时分别表示溢出错误、校验错误、帧错误、检测到“ break ”信号。读取这个寄存器时,它会自动清 0。

需要注意的是,接收数据时如果使用 FIFO ,则 UART 内部会使用一个“错误 FIFO ”来表明接收 FIFO 中哪个数据在接收过程发生了错误。 CPU 只有在读出这个错误的数据时,才会觉察到发生了错误 。要想清除“FIFO ”,则必须读出错误的数据,并读出UERSTATn 寄存器。

10. UTXHn寄存器(UART TRANSMIT BUFFER REGISTER)

CPU 将数据写入这个寄存器, UART即会将它保存到缓冲区中,并自动发送出去。

11. URXHn寄存器(UART RECEIVE BUFFER REGISTER)

当 UART 接收到数据时,读取这个寄存器,即可获得数据。

 

程序说明

程序文件和上一个实验大同小异,只是在MAIN文件夹下新建了一个init.c及init.h文件,用来存放初始化相关的代码。

下面是init.c文件的全部代码:

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
// GPIO
#define GPA0CON (*(volatile unsigned int *)0x11400000)
// system clock
#define CLK_SRC_PERIL0 (*(volatile unsigned int *)0x1003C250)
#define CLK_DIV_PERIL0 (*(volatile unsigned int *)0x1003C550)
// UART
#define UFCON0 (*(volatile unsigned int *)0x13800008)
#define ULCON0 (*(volatile unsigned int *)0x13800000)
#define UCON0 (*(volatile unsigned int *)0x13800004)
#define UBRDIV0 (*(volatile unsigned int *)0x13800028)
#define UFRACVAL0 (*(volatile unsigned int *)0x1380002c)
#define UTXH0 (*(volatile unsigned int *)0x13800020)
#define URXH0 (*(volatile unsigned int *)0x13800024)
 
#define UTRSTAT0 (*(volatile unsigned int *)0x13800010)
 
void UartInit()
{
/* 1.设置相应的GPIO用于串口功能 */
unsigned long tmp = 0;
 
tmp = GPA0CON;
tmp &= ~(0xff); //设置UART0对应的GPIO为UART功能
tmp |= 0x22;
GPA0CON = tmp;
 
/* 2.设置UART时钟源SCLK_UART */
/* 2.1 CLK_SRC_DMC : bit[12]即MUX_MPLL_SEL=1, SCLKMPLLL使用MPLL的输出
* 2.2 CLK_SRC_TOP1 : bit[12]即MUX_MPLL_USER_SEL_T=1, MUXMPLL使用SCLKMPLLL
* 2.3 CLK_SRC_PERIL0 : bit[3:0]即UART0_SEL=6, MOUTUART0使用SCLKMPLL_USER_T
* 所以, MOUTUART0即等于MPLL的输出, 800MHz
*/
/*
* PWM_SEL = 0;
* UART5_SEL = 0;
* UART4_SEL = 6; // 串口时钟源选 SCLKMPLL_USER_T
* UART3_SEL = 6;
* UART2_SEL = 6;
* UART1_SEL = 6;
* UART0_SEL = 6;
*/
CLK_SRC_PERIL0 = ((0 << 24) | (0 << 20) | (6 << 16) | (6 << 12) | (6<< 8) | (6 << 4) | (6));
 
/*
× 分频系数 = 7+1 = 8
* 2.4 CLK_DIV_PERIL0 : bit[3:0]即UART0_RATIO=7,所以SCLK_UART0=MOUTUART0/(7+1)=100MHz
*/
CLK_DIV_PERIL0 = ((7 << 20) | (7 << 16) | (7 << 12) | (7 << 8) | (7 << 4) | (7));
 
/* 3.设置串口0相关 */
/* 设置FIFO中断触发阈值
* 使能FIFO
*/
UFCON0 = 0x111;
 
/* 设置数据格式: 8n1, 即8个数据位,没有较验位,1个停止位 */
ULCON0 = 0x3;
 
/* 工作于中断/查询模式
* 另一种是DMA模式,本章不使用
*/
UCON0 = 0x5;
 
/* SCLK_UART0=100MHz, 波特率设置为115200
* 寄存器的值如下计算:
* DIV_VAL = 100,000,000 / (115200 * 16) - 1 = 53.25
* UBRDIVn0 = 整数部分 = 53
* UFRACVAL0 = 小数部分 x 16 = 0.25 * 16 = 4
*/
UBRDIV0 = 53;
UFRACVAL0 = 4;
 
}
 
char getc ( void )
{
char c;
/* 查询状态寄存器,直到有有效数据 */
while (!(UTRSTAT0 & (1<<0)));
 
c = URXH0; /* 读取接收寄存器的值 */
 
return c;
}
 
void putc ( char c)
{
/* 查询状态寄存器,直到发送缓存为空 */
while (!(UTRSTAT0 & (1<<2)));
 
UTXH0 = c; /* 写入发送寄存器 */
 
return ;
}
 
void puts ( char *s)
{
while (*s)
{
putc (*s);
s++;
}
}

1. UART时钟源

如在《Tiny4412裸机程序,时钟操作》试验里对 MPLL 进行了设置 ,本程序使用相同的 PLL 设置代码 (文件 system_clock.c 中的 system_clock_init函数 ):

1
2
3
4
5
6
7
8
9
MPLL_CON0 = (1<<31 | 0x64<<16 | 0x3<<8 | 0x0);
 
...............
 
CLK_SRC_DMC = 0x00011000;
 
...............
 
CLK_SRC_TOP1 = 0x01111000;

第 1 行设置MPLL 输出 800MHz,计算公式如下:

FOUT = MDIV x FIN / (PDIV x 2 ^ SDIV) = 0x64 x 24MHz / (3 x 2^ 0) = 800MHz

第5行设置CLK_SRC_DMC 寄存器的  bit[12] 即 MUXMPLL设置为 1,使得 SCLKMPLL 使用 MPLL的输出,即  800MHz 。

第9行设置CLK_SRC_TOP1 寄存器的bit[12] 即 MUX_MPLL_USER_SEL_T为 1,使得 SCLKMPLL_USER_T 使用 SCLKMPLL ,即 800MHz 。

下图摘自芯片手册时钟管理单元的章节, 图中 MUXMPLL 和 MUXMPLL_CTRL_USER_T 都被设置为 1,所以 SCLKMPLL_USER_T 就等于 MPLL 的输出,也就是 800MHz 。

MPLL输出时钟

MPLL输出时钟

SCLKMPLL_USER_T 将作为整个UART 模块的时钟源。

2. UART的初始化

如上init.c文件所示,注释非常详细,不做说明。

3. UART的使用

对 UART 的使用,不外乎读取数据、输出。这由 getc 、putc 函数来实现:

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
char getc ( void )
{
char c;
/* 查询状态寄存器,直到有有效数据 */
while (!(UTRSTAT0 & (1<<0)));
 
c = URXH0; /* 读取接收寄存器的值 */
 
return c;
}
 
void putc ( char c)
{
/* 查询状态寄存器,直到发送缓存为空 */
while (!(UTRSTAT0 & (1<<2)));
 
UTXH0 = c; /* 写入发送寄存器 */
 
return ;
}
 
void puts ( char *s)
{
while (*s)
{
putc (*s);
s++;
}
}

在 main 函数里,就是调用getc 、putc 来实现我们的目:获取字符,加 1后输出:

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
int main( void )
{
char rec;
 
UartInit();
 
while (1)
{
rec = getc ();
rec++;
putc ( '\r' );
putc ( '\n' );
putc (rec);
}
 
return 0;
}

编译、烧写、运行

1.编译

通过FTP或者其他工具将文件上传到服务器上去,输入make命令进行编译将得到make_bl2.bin和main.bin文件。

编译结果

编译结果

2.烧写

将SD卡插入电脑,并让VmWare里的Ubuntu识别出来,然后执行如下命令:

1
sudo ./sd_fusing.sh /dev/sdb ../10_uart/BL2/make_bl2.bin ../10_uart/MAIN/main.bin
程序烧写

程序烧写

连接电脑

如下图所示,将串口线连接在开发板上

连接串口线

连接串口线

运行现象

运行效果

运行效果

从串口上读入一个字符后, 加 1再输出。比如读到 ’A’输出 ’B’。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值